IEEE TRANSACTIONS ON
MICROWAVE THEORY AND TECHNIQUES A PUBLICATION OF THE IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY
JR MTT-S
NOVEMBER 2018
VOLUME 66
NUMBER 11
IETMAB
(ISSN 0018-9480)
THIS ISSUE INCLUDES THE JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS REGULAR PAPERS OF THE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
EM Theory and Analysis Techniques Application of Belevitch Theorem for Pole-Zero Analysis of Microwave Filters With Transmission Lines and Lumped Elements . .... . ..... ...... . .. ......... .... ... ... ... .. ..... .. .. ... ... . .... ... . .. .. ... .. .... .. .... .. ..... E. L. Tan and D. Y. Heh Closed-Form Solution of Rough Conductor Surface Impedance ....... .. . ..... . .. ......... ... .... ... .... ...... D. N. Grujic Devices and Modeling A GaN HEMT Global Large-Signal Model Including Charge Trapping for Multibias Operation ........... ... . .. ...... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. P. Gibiino, A. Santarelli, and F Filicori 15-Gb/s 50-cm Wireless Link Using a High-Power Compact III-V 84-GHz Transmitter ... .......... ................... . . . . . . . .. .. . .. . . . . . . . .. . . ... .... .. .. . .. . . . . . .. . ... . J. Wang, A. Al-Khalidi, L. Wang, R. Morariu, A. Ofiare, and E. Wasige Nonreciprocal Components Based on Switched Transmission Lines .. ... .... . ...... .. ...... . .... .... .. ...................... . . .. .. .. . . .. ... . .. . . .. .. . . . . . A. Nagulu, T. Dine, Z. Xiao, M. Tymchenko, D. L. Saunas, A. Alu, and H. Krishnaswamy Millimeter-Wave Double-Ridge Waveguide and Components ............. S. Manafi, M. Al-Tarifi, and D. S. Filipovic Design of Frequency Selective Surface-Based Hybrid Nanocomposite Absorber for Stealth Applications ... ... ..... .. . . .... .. .. .. ..... ... . ... . . . . ... ... ... .. . . .. . ... . V. K. Chakradhary, H. B. Baskey, R. Roshan, A. Pathik, and M. J. Akhtar Conversion Rules Between X-Parameters and Linearized Two-Port Network Parameters for Large-Signal Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Essaadali, A. Jarndal, A. B. Kouki, and F M. Ghannouchi Passive Circuits Theoretical Analysis of RF Pulse Termination in Nonlinear Transmission Lines ........... . ... ..... ...... .... ... . .. ...... . . .. .. .. . .. ... . ... .. . . ... .. . . . .... .. . . .. ... .... .. ... . . . . . .. . . . ..... M. Samizadeh Nikoo, S. M.-A. Hashemi, and F Farzaneh Integrated Full-Hemisphere Space-to-Frequency Mapping Antenna With CRLH Stripline Feed Network ..... .. .... ... . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. D. Enders, J. H. Choi, and J. K. Lee Multiport ln-Phase/Antiphase Power Dividing Network With Bandpass Response Based on Dielectric Resonator .... ... .. .... . . ... .. ..... .. . ...... . . .. ... .. .. .. ... .. .. . .. ... ... . .. ..... .. . ..... ... .. ... .. ... ... . .. .. ... .. ... . .. ... W. Yu and J.-X. Chen Generalized Synthesized Technique for the Design of Thickness Customizable High-Order Bandpass Frequency-Selective Surface ... ........................ .. ................. . .. . ........... K. Payne, K. Xu, and J. H. Choi
4669 4677
4684 4698 4706 4726 4737 47 45
4757 4765 4773 4783
(Contents Continued on Page 4667)
+.IEEE
(Contents Continued from Front Cover) Asymmetrical Impedance Inverter for Quasi-Optical Bandpass Filters With Transmission Lines of Fixed Length .... ...................................................................................................... P. K. Loo and G. Goussetis A New Balanced Bandpass Filter With Improved Performance on Right-Angled Isosceles Triangular Patch Resonator ..................................................... Q. Liu, J. Wang, L. Zhu, G. Zhang, F. Huang, and W. Wu Systematic Evaluation of Spikes Due to Interference Between Cascaded Filters ............................................ ................... A. Morini, G. Venanzoni, P. M. Iglesias, C. Ernst, N. Sidiropoulos, A. Di Donato, and M. Farina Dual-Mode Characteristics of Half-Mode SIW Rectangular Cavity and Applications to Dual-Band Filters With Widely Separated Passbands ...................................................................... K. Zhou, C.-X. Zhou, and W. Wu Hybrid and Monolithic RF Integrated Circuits Analysis and Design of N-Path RF Bandstop Filters Using Walsh-Function-Based Sequence Mixing .................. .................................................................................................... A. Agrawal and A. Natarajan Compact Series Power Combining Using Subquarter-Wavelength Baluns in Silicon Germanium at 120 GHz ......... ............................................................................................. S. Daneshgar and J. F. Buckwalter 0.3–14 and 16–28 GHz Wide-Bandwidth Cryogenic MMIC Low-Noise Amplifiers ........................................ .............. E. Cha, N. Wadefalk, P.-Å. Nilsson, J. Schleeh, G. Moschetti, A. Pourkabirian, S. Tuzi, and J. Grahn A 1.8–3.8-GHz Power Amplifier With 40% Efficiency at 8-dB Power Back-Off ........................................... ............................................................................... P. Saad, R. Hou, R. Hellberg, and B. Berglund Instrumentation and Measurement Techniques Nonuniformly Distributed Electronic Impedance Synthesizer ................... Y. Zhao, S. Hemour, T. Liu, and K. Wu Jitter Sensitivity Analysis of the Superconducting Josephson Arbitrary Waveform Synthesizer ........................... .......................................... C. A. Donnelly, J. A. Brevik, P. D. Dresselhaus, P. F. Hopkins, and S. P. Benz
4794 4803 4814 4820
4830 4844 4860 4870 4883 4898
JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS JOURNAL WITHIN A JOURNAL PAPERS
Wireless Communication Systems Effect of Out-of-Band Blockers on the Required Linearity, Phase Noise, and Harmonic Rejection of SDR Receivers Without Input SAW Filter .............................................................. A. Rasekh and M. Sharif Bakhtiar An Ultralow-Power RF Wireless Receiver With RF Blocker Energy Recycling for IoT Applications ................... ....................................... O. Elsayed, M. Abouzied, V. Vaidya, K. Ravichandran, and E. Sánchez-Sinencio A Fully Integrated 300-MHz Channel Bandwidth 256 QAM Transceiver With Self-Interference Suppression in Closely Spaced Channels at 6.5-GHz Band ............................... Y. Zhang, N. Jiang, F. Huang, X. Tang, and X. You A Real-Time Architecture for Agile and FPGA-Based Concurrent Triple-Band All-Digital RF Transmission ......... ................ D. C. Dinis, R. Ma, S. Shinjo, K. Yamanaka, K. H. Teo, P. V. Orlik, A. S. R. Oliveira, and J. Vieira A 0.4-to-4-GHz All-Digital RF Transmitter Package With a Band-Selecting Interposer Combining Three Wideband CMOS Transmitters ............................................................................................................... ................ N.-C. Kuo, B. Yang, A. Wang, L. Kong, C. Wu, V. P. Srini, E. Alon, B. Nikoli´c, and A. M. Niknejad Extraction of the Third-Order 3 × 3 MIMO Volterra Kernel Outputs Using Multitone Signals ........................... ......................................................................... Z. A. Khan, E. Zenteno, P. Händel, and M. Isaksson Instantaneous Sample Indexed Magnitude-Selective Affine Function-Based Behavioral Model for Digital Predistortion of RF Power Amplifiers .......................................................................... Y. Li, W. Cao, and A. Zhu Composite Neural Network Digital Predistortion Model for Joint Mitigation of Crosstalk, I /Q Imbalance, Nonlinearity in MIMO Transmitters ...................................................... P. Jaraut, M. Rawat, and F. M. Ghannouchi
4913 4927 4943 4955 4967 4985 5000 5011
Wireless Power Transfer and RFID Systems Increasing the Range of Wireless Power Transmission to Stretchable Electronics .......................................... ................................................................. E. Siman-Tov, V. F.-G. Tseng, S. S. Bedair, and N. Lazarus Bootstrapped Rectifier–Antenna Co-Integration for Increased Sensitivity in Wirelessly-Powered Sensors ............... .......................................................................................... J. Kang, P. Chiang, and A. Natarajan
5021
Microwave Imaging and Radar Applications A Linear Synthetic Focusing Method for Microwave Imaging of 2-D Objects .............................................. ................................................................................ T. Gholipur, M. Nakhkash, and M. Zoofaghari W-Band MIMO FMCW Radar System With Simultaneous Transmission of Orthogonal Waveforms for High-Resolution Imaging .... S.-Y. Jeon, M.-H. Ka, S. Shin, M. Kim, S. Kim, S. Kim, J. Kim, A. Dewantari, J. Kim, and H. Chung
5042
5031
5051
(Contents Continued on Page 4668)
(Contents Continued from Page 4667) An Efficient Algorithm for MIMO Cylindrical Millimeter-Wave Holographic 3-D Imaging .............................. ................................................................................. J. Gao, B. Deng, Y. Qin, H. Wang, and X. Li A Portable K -Band 3-D MIMO Radar With Nonuniformly Spaced Array for Short-Range Localization ............... .................................................................................................................. Z. Peng and C. Li Integration of SPDT Antenna Switch With CMOS Power Amplifier and LNA for FMICW Radar Front End ......... ...................................................................................... B. Kim, J. Jang, C.-Y. Kim, and S. Hong A C-Band FMCW SAR Transmitter With 2-GHz Bandwidth Using Injection-Locking and Synthetic Bandwidth Techniques .......................................................... S. Balon, K. Mouthaan, C.-H. Heng, and Z. N. Chen A Fundamental-and-Harmonic Dual-Frequency Doppler Radar System for Vital Signs Detection Enabling Radar Movement Self-Cancellation .................................................................. F. Zhu, K. Wang, and K. Wu Microwave Sensors and Biomedical Applications Novel Microwave Tomography System Using a Phased-Array Antenna ...................................................... ........................... Y. Abo Rahama, O. Al Aryani, U. Ahmed Din, M. Al Awar, A. Zakaria, and N. Qaddoumi An RF-Powered Crystal-Less Double-Mixing Receiver for Miniaturized Biomedical Implants ........................... ................................................................................... M. Cai, Z. Wang, Y. Luo, and S. Mirabbasi
5065 5075 5087 5095 5106
5119 5129
IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $24.00 and obtain electronic access, plus $50.00 per year for print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE T. B RAZIL, President A. A BUNJAILEH G. LYONS
D. S CHREURS, President Elect
A. Z HU, Secretary
IEEE Transactions on Microwave Theory and Techniques J. C. P EDRO L. P ERREGRINI
IEEE Microwave Magazine R. C AVERLEY
Editors-in-Chief of the publications of the IEEE Microwave Theory and Techniques Society IEEE Microwave and Wireless IEEE Transactions on Terahertz IEEE Journal of Electromagnetics, RF Components Letters Science and Technology and Microwaves in Medicine and Biology N. S COTT BARKER J. S TAKE J.-C. C HIAO
Honorary Life Members J. BARR T. I TOH
A. A BUNJAILEH , Treasurer
Elected members M. B OZZI T. B RAZIL N. C ARVALHO G. C HATTOPADHYAY W. C HE K. G HORBANI R. G UPTA R. H ENDERSON P. K HANNA M. M ADIHIAN J. NAVARRO D. PASQUET G. P ONCHAK S. R AMAN J. E. R AYAS -S ANCHEZ S. R EISING A. S ANADA D. S CHREURS
D. K ISSINGER S. KOUL M. S TEER
IEEE Journal on Multiscale and Multiphysics Computational Techniques Q. H. L IU
Distinguished Lecturers
R. S PARKS P. S TAECKER
2016–2018 C. C AMPBELL P. ROBLIN T. NAGATSUMA N. S HINOHARA
2017–2019 W.Y. A LI -A HMAD N. B. C ARVALHO
Past Presidents 2018–2020 S. BASTIOLI M. G ARDILL J. E VERARD
D. W ILLIAMS (2017) K. W U (2016) T. L EE (2015)
MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: F. J. D I V RUNO Atlanta: W. W ILLIAMS Austria: W. B OESCH Bahia: M. TAVARES D E M ELO Baltimore: R. C. PARYANI Bangalore/India: V. V. S RINIVASAN Beijing: W. C HEN Belarus: S. M ALYSHEV Benelux: G. VANDENBOSCH Boston: A. Z AI Bombay/India: A. D. JAGATIA/ Q. H. BAKIR Brasilia/Amazon: M. V. A. N UNES Buenaventura: C. S EABURY Buffalo: M. R. G ILLETTE Bulgaria: M. H RISTOV Canada, Atlantic: C. J. W HITT Cedar Rapids/Central Iowa: M. K. ROY Central & South Italy: L. TARRICONE Central North Carolina: F. S UCO Central Texas: A. A LU Centro-Norte Brasil: A. P. L ANARI B O Chengdu: B.-Z. WANG Chicago: K. J. K ACZMARSKI Cleveland: M. S CARDELLETTI Columbus: C. C AGLAYAN Connecticut: C. B LAIR Croatia: S. H RABAR Czech/Slovakia: V. Z AVODNY Dallas: R. PANDEY Dayton: A. J. T ERZUOLI
Delhi/India: S. K. KOUL Denver: T. S AMSON/ W. N. K EFAUVER Eastern North Carolina: T. N ICHOLS Egypt: E. A. E L H. H ASHEESH Finland: K. H ANEDA /V. V IIKARI Florida West Coast: J. WANG Foothills: M. C HERUBIN France: A. G HIOTTO /P. D ESCAMPS Germany: P. K NOTT Greece: S. KOULOURIDIS Guadalajara: Z. B RITO Gujarat/India: M. B. M AHAJAN Guangzhou: Q.-X. C HU Harbin: Q. W U Hawaii: A. S INGH Hiroshima: K. O KUBO Hong Kong: H. W. L AI /K. M. S HUM Houston: S. A. L ONG Hungary: L. NAGY Huntsville: B. J. W OLFSON Hyderabad/India: Y. K. V ERMA Indonesia: M. A LAYDRUS Islamabad: H. C HEEMA Israel: S. AUSTER Kansai: T. K ASHIWA Kingston: C. E. S AAVEDRA/ Y. M. A NTAR Kitchener-Waterloo: R. R. M ANSOUR Kolkata/India: D. G UHA Lebanon: E. H UIJER Lithuania: I. NAIDIONOVA
Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: H.-Y. PAN Los Angeles, Metro/San Fernando: J. C. W EILER Macau: W.-W. C HOI Madras/India: V. A BHAIKUMAR Malaysia: F. C. S EMAN Malaysia, Penang: P. W. W ONG Mexican Council: R. L M IRANDA Milwaukee: S. S. H OLLAND Montreal: K. W U Morocco: M. E SSAAIDI Nagoya: T. S EKINE Nanjing: W. H ONG New Hampshire: D. S HERWOOD New Jersey Coast: A. AGARWAL New South Wales: R. M. H ASHMI New Zealand: A. W ILLIAMSON North Italy: G. O LIVERI North Jersey: A. P ODDAR Northern Australia: J. M AZIERSKA Northern Canada: A. K. I YER/ M. DANESHMAN Northern Nevada: B. S. R AWAT Norway: Y. T HODESEN Orange County: H. J. D E L OS S ANTOS Oregon: K. M AYS Orlando: M. S HIRAZI Ottawa: Q. Z ENG Philadelphia: A. S. DARYOUSH Peru: G. R AFAEL -VALDIVIA
Phoenix: C. S COTT /S. ROCKWELL Pikes Peak: K. H U Poland: W. J. K RZYSZTOFIK Portugal: R. F. S. C ALDEIRINHA Princeton/Central Jersey: A. K ATZ Queensland: M. S HAHPARI Rio de Janeiro: J. R. B ERGMANN Rochester: J. D. M AJKOWSKI Romania: T. P ETRESCU Russia, Moscow: V. A. K ALOSHIN Russia, Novosibirsk: A. B. M ARKHASIN Russia, Saratov/Penza: M. D. P ROKHOROV Russia, Tomsk: D. Z YKOV San Diego: T. E. BABAIAN Santa Clara Valley/San Francisco: O. E. L ANEY Seattle: D. H EO /M. P. A NANTRAM Seoul: J.-S. R IEH Serbia and Montenegro: Z. M ARINKOVIC Shanghai: J. F. M AO Singapore: X. C HEN South Africa: D. D E V ILLIERS South Australia: C. O. F UMEAUX South Brazil: C. K RETLY Southeastern Michigan: A. G RBIC Spain: M. F ERNANDEZ BARCIELA Sri Lanka: A. W. G UNAWARDENA St. Louis: D. BARBOUR Sweden: M. G USTAFSSON
Switzerland: N. PARRA M ORA Syracuse: M. C. TAYLOR Taegu: Y.-H. J EONG Tainan: C.-L. YANG Taipei: Y.-J. E. C HEN Thailand: T. A NGKAEW Tiblisi, Rep. of Georgia: K. TAVZARASHVILI Tokyo: M. NAKATSUGAWA Toronto: G. V. E LEFTHERIADES Tucson: H. X IN /M. L I Tunisia: N. B OULEJFEN ¨ E RG UL ¨ Turkey: O. Twin Cities: C. F ULLER UK/RI: A. R EZAZADEH Ukraine, East: K. V. I LYENKO Ukraine, Kiev: Y. P ROKOPENKO Ukraine, Vinnytsya: O. O. KOVALYUK Ukraine, West: M. I. A NDRIYCHUK United Arab Emirates: N. K. M ALLAT Uttar Pradesh/India: A. R. H ARISH Vancouver: D. G. M ICHELSON Venezuela: J. B. P ENA Victoria: E. V INNAL Virginia Mountain: G. W ILLIAMS Washington DC/Northern Virginia: R. R. B ENOIT Western Saudi Arabia: A. S HAMIM Winnipeg: P. M OJABI Xian: X. S HI
Editorial Board of IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES Editors-In-Chief Editorial Assistants J OSE C ARLOS P EDRO Universidade de Aveiro Aveiro, Portugal
L UCA P ERREGRINI Univ. of Pavia Pavia, Italy
L AURA G RIMOLDI Italy
A NA R IBEIRO Portugal
Associate Editors S T E´ PHANE B ILA XLIM Limoges, France X UDONG C HEN Nat. Univ. of Singapore Singapore TA -S HUN C HU National Tsing Hua University Hsinchu, Taiwan
A LESSANDRA C OSTANZO Univ. of Bologna Bologna, Italy C HISTIAN DAMM Univ. Ulm Ulm, Germany
A NDREA F ERRERO Keysight Technol. Santa Rosa, CA, USA J OSE A NGEL G ARCIA Universidad de Cantabria Santander, Spain
K AMRAN G HORBANI RMIT Univ. Melbourne, Vic., Australia J USEOP L EE Korea Univ. Seoul, South Korea
A RUN NATARAJAN Oregon State Univ. Corvallis, OR, USA H ENDRIK ROGIER Univ. of Ghent Ghent, Belgium
C HRISTOPHER S ILVA The Aerospace Corporation El Segundo, CA, USA M ARTIN VOSSIEK Univ. of Erlangen-N¨urnberg Erlangen, Germany
PATRICK FAY Univ. of Notre Dame Notre Dame, IN, USA
XUN GONG University of Central Florida Orlando, FL, USA
T ZYH -G HUANG M A NTUST Taipei, Taiwan
M IGUEL A NGEL S ANCHEZ S ORIANO University of Alicante Alicante, Spain
J OHN W OOD Obsidian Microwave, LLC Raleigh-Durham, NC, USA
IEEE Officers JAMES A. J EFFERIES, President J OS E´ M. F. M OURA, President-Elect W ILLIAM P. WALSH, Secretary J OSEPH V. L ILLIE, Treasurer K AREN BARTLESON, Past President
W ITOLD M. K INSNER, Vice President, Educational Activities S AMIR M. E L -G HAZALY, Vice President, Publication Services and Products M ARTIN J. BASTIAANS, Vice President, Member and Geographic Activities F ORREST D. “D ON ” W RIGHT, President, Standards Association S USAN “K ATHY ” L AND, Vice President, Technical Activities S ANDRA “C ANDY ” ROBINSON, President, IEEE-USA J ENNIFER T. B ERNHARD, Director, Division IV—Electromagnetics and Radiation
IEEE Executive Staff S TEPHEN P. W ELBY, T HOMAS S IEGERT, Business Administration J ULIE E VE C OZIN, Corporate Governance D ONNA H OURICAN, Corporate Strategy JAMIE M OESCH, Educational Activities JACK BAILEY, General Counsel & Chief Compliance Officer VACANT, Human Resources C HRIS B RANTLEY, IEEE-USA
Executive Director & Chief Operating Officer C HERIF A MIRAT, Information Technology K AREN H AWKINS, Marketing C ECELIA JANKOWSKI, Member and Geographic Activities M ICHAEL F ORSTER, Publications KONSTANTINOS K ARACHALIOS, Standards Association M ARY WARD -C ALLAN, Technical Activities
IEEE Periodicals Transactions/Journals Department
Senior Director, Publishing Operations: DAWN M ELLEY Director, Editorial Services: K EVIN L ISANKIE Director, Production Services: P ETER M. T UOHY Associate Director, Editorial Services: J EFFREY E. C ICHOCKI Associate Director, Information Conversion and Editorial Support: N EELAM K HINVASARA Managing Editor: C HRISTOPHER P ERRY Journals Coordinator: C HRISTINA M. R EZES IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $217.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, c 2018 by The Institute of Electrical and Electronics Engineers, Inc. write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.
Digital Object Identifier 10.1109/TMTT.2018.2873875
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Application of Belevitch Theorem for Pole-Zero Analysis of Microwave Filters With Transmission Lines and Lumped Elements Eng Leong Tan , Senior Member, IEEE, and Ding Yu Heh , Member, IEEE
Abstract— This paper presents the application of Belevitch theorem for pole-zero analysis of microwave filters synthesized with transmission lines and lumped elements. The scattering (S) matrix determinant () based on the Belevitch theorem, aptly called Belevitch determinant, comprises poles and zeros that are separated in different half-plane regions. Using the Belevitch determinant, the poles and zeros of filter transfer functions can be determined separately with certainty, e.g., by applying the contour integration method based on argument principle. Note that the contour integration can be evaluated numerically without requiring complicated overall analytical expressions. The proposed method is able to solve the poles and zeros for filters synthesized with noncommensurate transmission lines and lumped elements, where the transform method and the eigenvalue approach are inapplicable. Several applications are discussed to demonstrate the use of Belevitch theorem and the contour integration method to determine the poles and zeros of various microwave filters on the complex plane. Index Terms— Argument principle, Belevitch theorem, contour integration, microwave filters, numerical method, poles and zeros, S matrix determinant.
I. I NTRODUCTION
M
ICROWAVE filters have been the subject of much research due to their wide applications in wireless communication. Various filter synthesis methods, designs, and transformations can be found in numerous classic microwave textbooks [1]–[4]. Over the years, many filter structures have also been analyzed and synthesized involving (lossless) lumped elements and transmission-line structures, such as stepped lines, stubs, and coupled lines [5]–[8]. However, most analyses have not considered in detail the order and locations of poles and zeros of synthesized filters. In particular, most filter analyses of microwave textbooks are subjected only for low-pass filter prototype and typically in lumped elements. When replaced by transmission-line structures after various transformations, the transfer functions of these filters may Manuscript received October 15, 2017; revised February 28, 2018 and June 25, 2018; accepted July 29, 2018. This work was supported in part by research projects through DSO under Grant DSOCL12016 and in part by the Singapore Ministry of Education Tertiary Education Research Fund under Grant 2015-1-TR15. (Corresponding author: Ding Yu Heh.) The authors are with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail:
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2865928
change considerably. The numbers of poles and zeros as well as their locations for a synthesized filter may be different from the originally specified or designed ones. For instance, the poles of a synthesized Chebyshev filter may be deviated from the reference Chebyshev ellipse after realization. It is to be shown later that the number of poles of a synthesized classical coupled-line filter [1] turns out to be more. Hence, the number of poles and zeros should be ascertained after synthesis, while their locations should be verified and checked for further tuning wherever needed, especially for filters with transmission lines transformed from lumped element prototypes. Since poles and zeros control the amplitude response, phase, and group delay of a filter directly, they need to be analyzed for better understanding and manipulation of the filter response. Unfortunately, for microwave filters synthesized from transmission-line structures, it is often difficult to determine the poles and zeros since the overall analytical expressions may be very complicated due to the involvement of (nonpolynomial) transcendental functions. The difficulties in solving poles and zeros are further exacerbated when the filters consist of both transmission lines and lumped elements. Their resultant scattering (S) parameters’ expressions, if one could ever derive, would comprise combinations of various integer power terms and trigonometric power terms. This makes the existing methods, such as Richard, Euler, or digital transform method [1], [9], [10], and coupling matrix eigenvalue approach [11]–[15] inapplicable for the pole-zero analysis of the filters. For blind modeling methods such as vector fitting technique [16], they also involve many uncertainties and inconsistencies of poles and zeros depending on the chosen number of poles and fitting bandwidth. In this paper, we present the application of Belevitch theorem for the pole-zero analysis of microwave filters synthesized with transmission lines and lumped elements. In Section II, the challenges in solving poles and zeros for such filters will be exemplified in detail, demonstrating the difficulties and deficiencies of existing methods, including transform method, eigenvalue approach, and vector fitting technique. In Section III, the Belevitch theorem will be discussed. Using the Belevitch theorem, it will be shown that the scattering (S) matrix determinant (), called Belevitch determinant, comprises poles and zeros that are separated in different half-plane regions. Once the poles and zeros are separated completely,
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
the contour integration method based on argument principle will be applied, which allows them to be determined with certainty. Several applications will be discussed in Section IV to demonstrate the use of the Belevitch theorem and the contour integration method to determine the poles and zeros of various microwave filters on the complex plane. II. P OLE -Z ERO A NALYSIS OF M ICROWAVE F ILTERS W ITH T RANSMISSION L INES AND L UMPED E LEMENTS For microwave filters involving transmission lines, it is often challenging to solve for the poles and zeros of transfer functions due to the involvement of transcendental functions such as the exponential and trigonometric functions. Consider the example from [9], where the filter section consists of two parallel transmission-line sections with characteristics impedances and electrical lengths Z 1 , Z 2 and θ1 , θ2 , respectively [see Fig. 1(a)]. The expression of S21 for such a filter section is given by S21 (ω) = N S21 (ω)/D S21(ω)
(1)
where N S21 (ω) = −2 j Z 0 Z 1 Z 2 (Z 1 sin(θ1 ω/ω0 ) + Z 2 sin(θ2 ω/ω0 ))
(2)
D S21(ω) = Z 02 Z 12 + Z 02 Z 22 + Z 12 Z 22 (sin(θ1 ω/ω0 ) sin(θ2 ω/ω0 )) + Z 02 Z 1 Z 2 (cos2 (θ1 ω/ω0 )+cos2 (θ2 ω/ω0 )+sin2 (θ1 ω/ω0 ) + sin2 (θ2 ω/ω0 ) − 2 cos(θ1 ω/ω0 ) cos(θ2 ω/ω0 )) − 2 j Z 0 Z 12 Z 2 cos(θ2 ω/ω0 ) sin(θ1 ω/ωa0 ) + Z 0 Z 1 Z 22 cos(θ1 ω/ω0 ) sin(θ2 ω/ω0 )
(3)
ω0 is the center angular frequency. To convert (1)–(3) into complex frequency s domain, where s = σ + j ω, ω is substituted with − j ·s = − j ·(σ + j ω). It is worth pointing out that the S21 denominator of the filter section is already rather complicated even for one section only. As exemplified from (1)–(3), the roots cannot be directly solved for these nonlinear functions. Furthermore, as the trigonometric functions are periodic, there are infinitely many poles on the whole s domain. It is therefore, difficult to ascertain and solve for the poles within certain frequency band of interest. For commensurate lines whose electrical lengths are the same (or multiples) for all sections, one possible way to solve for the poles is by utilizing the Richard transform or Euler’s identities [1]. In particular, using z + 1/z z − 1/z , sin(− j sθ1/ω0 ) = (4) cos(− j sθ1/ω0 ) = 2 2j z a + 1/z a z a − 1/z a cos(− j sθ2/ω0 ) = , sin(− j sθ2/ω0 ) = 2 2j (5) where a = θ2 /θ1 , S21 of (1) is transformed into z domain as 2z 2a + 2z a+1 − 2z a−1 − 2 . (6) 4z 2a+1 − z 2a−1 − 2z a − z If a is an integer, the numerator and denominator of (6) contain only polynomials (with integer powers) for which the S21 (z) =
Fig. 1.
Schematic of a parallel transmission-line filter.
roots may be solved directly [9], [10]. Following [9], we set Z 0 = 50, Z 1 = Z 2 = 2Z 0 , and the electrical lengths of the two parallel transmission lines are θ1 = π/2, θ2 = 5π/2, which give a = 5. Substituting this a into (6) and upon changing the variable z = u 1/2 , we obtain an equation that is consistent with [9, eq. (3)] by noting u = e j π( f /f d ) and the same ak , bk in [9, Table I]. Thus far, the transform method has been applied for the case of integer a to solve for polynomial roots. However, it is no longer able to simplify root solving for noncommensurate lines or when a is not an integer. To demonstrate this, we let θ2 = 3.6514π instead of 5π/2 in Fig. 1(a). Now, substituting a = 7.3028 into the denominator of (6) gives an equation, which consists of fractional powers that can no longer be solved easily as polynomial roots. In fact, when a is not integer, it is also mentioned in [9] that the filter cannot be analyzed within the digital-inspired (z or u) framework (e.g., only approximate when θ1 = 0.497π). The difficulties in solving poles and zeros of transfer functions are further exacerbated when the filters consist of both transmission lines and lumped elements. To illustrate this, the same filter section in Fig. 1(a) is added with two capacitors of capacitance C1 and C2 , as shown in Fig. 1(b). The denominator of S21 can be derived as D S21(ω)
= − 105 C1 C2 ω2 p14 − 2 p12 p22 − j 8 p12 p2 q2 + 2 p12 q12 + 2 p12 q22 − j 8 p1 p22 q1 + 24 p1 p2 q1 q2 + j 8 p1q1 q22 + p24 + 2 p22 q12 + 2 p22 q22 + j 8 p2q12 q2 + q14 − 2q12 q22 + q24 + 200ω(2 p1 p2 + j p1q2 + j p2q1 )(2C1 p2 q1 − j C2 p1 p2 − j C1 p1 p2 + 2C2 p1 q2 + j C1 q1 q2 + j C2 q1 q2 ) − (2 p1 p2 + j p1q2 + j p2q1 )2
where
θ1 θ2 p1 = cos ω/ω0 , p2 = cos ω/ω0 2 2 θ1 θ2 ω/ω0 , q2 = sin ω/ω0 . q1 = sin 2 2
(7)
(8) (9)
It is evident that due to the combinations of various integer power terms (ω2 , ω) and trigonometric power terms [sin((θ/2)ω/ω0 ), cos((θ/2)ω/ω0 )], (7) cannot be transformed into another simpler form to solve for the roots directly. Hence, the transform method is inapplicable for the pole-zero analysis of filters with transmission lines and lumped elements. For certain microwave filter synthesis [11]– [15], its coupling matrix may also be used to find the S-parameter poles via eigenvalue approach. Considering, for example, an n-coupled
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAN AND HEH: APPLICATION OF BELEVITCH THEOREM FOR POLE-ZERO ANALYSIS OF MICROWAVE FILTERS
lumped element resonator filter, its S21 and S11 can be derived using the coupling matrix as [3] −1 2 An1 S21 = √ qe1 qen 2 −1 S11 = ± 1 − A11 qe1
3
(10a)
network can be represented as [17] 1 u S12 S = S = 11 S21 S22 g v∗
(10b)
where g is a strictly Hurwitz polynomial and g, u, and v are related by
v −u ∗
uu ∗ + vv ∗ = gg∗.
where A = q + pI − jm ω0 j ω − p= FBW ω0 ω
(11) (12)
qe1 and qen are the scaled external quality factors of input and output resonators, FBW is the fractional bandwidth, ω0 is the center angular frequency, I is the n × n identity matrix, q is an n × n matrix with all entries zeros except q11 = 1/qe1 and qnn = 1/qen , and m is the n × n coupling matrix. If a narrowband filter can be represented in the coupling matrix form of (11), the poles can be found by first solving p from the eigenvalues of matrix comprising m in (11). Thereafter, the poles are obtained via (12). However, a broadband filter can no longer be representable in the coupling matrix form of (11) should it comprise combinations of noncommensurate transmission lines and lumped elements. Indeed, the impedance or admittance matrix or A in (11) for such a filter should have various powers of ω, (1/ω), as well as sin and cos terms, in much the same manner like (7). In other words, the p term of (12) not only involves (ω/ω0 ) and (ω0 /ω), while the m matrix of (11) not only contains constant coupling coefficients, but also various nonlinear functions of ω. This makes it difficult or impossible to extract the poles using the eigenvalue approach directly. Hence, the eigenvalue approach is inapplicable for the pole-zero analysis of filters with transmission lines and lumped elements. The blind system modeling methods such as the vector fitting technique, Loewner matrix, or Cauchy method are sometimes used to locate the system poles and zeros through fitting of measurement data points. We shall comment briefly on the vector fitting technique [16] that is one of the most popular modeling methods. While it is a blind fitting/modeling method, the number of poles to estimate is often uncertain and the poles obtained are very much dependent on the fitting bandwidth. For a filter with no complex zeros, spurious complex zeros may even appear that are not symmetrical about the j ω axis, thus violating the S-parameters’ unitary conditions [11]. Henceforth, this technique and other blind fitting/modeling methods are beyond the scope of this paper. III. A PPLICATION OF B ELEVITCH T HEOREM FOR P OLE -Z ERO A NALYSIS To overcome the difficulties and deficiencies mentioned above, we shall present the application of Belevitch theorem for the poles and zeros analysis of microwave filters synthesized with transmission lines and lumped elements. The Belevitch theorem states that the S matrix of a lossless 2-port
(13)
(14)
The notation g∗ (with subscript “∗ ”) denotes g∗ = [g(−s ∗ )]∗ , where the superscript “*” indicates complex conjugation. Note that the effect of g∗ is to reflect all complex roots of g symmetrically about the imaginary axis. Therefore, the roots of g and g∗ are symmetrical about the imaginary axis. Applying the Belevitch theorem (13) and (14), it can be shown that the S matrix determinant, aptly called Belevitch determinant, takes the form = S11 S22 − S21 S12 =
−g∗ . g
(15)
Since g is strictly Hurtwiz, (15) shows that all poles of are located in the left half-plane (LHP) of complex s plane, with the same number of zeros (roots of g∗ ) located exactly opposite of j ω axis in the right half-plane (RHP). Note that there will be no zeros in the LHP and no poles in the RHP for . As such, the poles and zeros have been effectively separated into different half-plane regions through (15). This is crucial to allow them to be determined separately with certainty in the subsequent analysis. Furthermore, the Belevitch determinant in (15) is an irreducible expression due to g being strictly Hurtwiz. Consequently, the degree of a lossless 2-port is the degree of the polynomial g of the canonic form (13) [17]. By retrieving the poles of , we have essentially retrieved the same poles for S21 sharing the common denominator g. Once the poles and zeros are separated completely, one can proceed to solve for them using several possible ways. To that end, the contour integration method based on argument principle is one possible way that may be applied conveniently. The argument principle is given by [18], [19]
f (s) 1 1 C arg f (s) = ds (16) Z−P= 2π 2π j C f (s) where C arg f (s) is the change in argument of f (s) along closed contour C in the counterclockwise direction, f (s) is a meromorphic function, Z and P are the number of zeros and poles of f (s), including their multiplicities within the closed contour, and f (s) is the derivative of f (s). The contour C also should not pass through any poles and zeros. The complex function f (s) in (16) is to be replaced by the Belevitch determinant (s) of a microwave filter on complex s plane. Since has no zeros in the LHP (Z = 0), we are able to evaluate (16) to find the number of poles in the LHP. It should be emphasized here that without the use of Belevitch determinant in (15), the argument principle could not be applied directly if f (s) contains both poles and zeros in the LHP. Most argument principle-based methods, such as [20] and [21], are able to solve only for the zeros of f (s) when it is analytic within C (P = 0).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
The contour integration of f ≡ can be evaluated numerically via
1 (s + δs/2) − (s − δs/2) (s) 1 ds = . 2π j C (s) π j s (s + δs/2) + (s − δs/2) (17) Here, central finite difference is used for the derivative (s), while the averaging scheme is used for (s). This reduces the number of stencils from three to two for every point on the contour. δs is the spatial step size chosen along the path to ensure convergence. It should be emphasized that to evaluate (17), the overall analytical expression of is not required, while only the numerical values of along the contour path are needed. In practice, for microwave filter circuits, the network parameters for individual sections (such as transmission lines, lumped elements, etc.) can be computed and manipulated readily to obtain the values of the overall circuits. To locate the poles, the contour can be successively divided into smaller sections until they are tightly enclosed. The contour method could even be combined with other efficient iterative root searching algorithms, such as Newton’s method, Muller’s method, etc., which often require rather good initial guess. Having divided the contour into small sections, the contour centroid may serve as good initial guess to these iterative algorithms. After the poles have been retrieved, we can further determine (if any) the complex zeros of a microwave filter. This can be done by repeating (16) for f ≡ S21 and dividing the contour into smaller sections successively to find Z with known P. The poles and zeros may also be solved using the approach in [22], employing Newton’s identities. However, one would need to estimate the number of distinct zeros and poles, which is done through forming successive system matrices and checking if they are singular. This is often difficult in practice to numerically ascertain whether a matrix is singular. Furthermore, a large number of zeros and poles often result in high polynomial order and ill-conditioned problem. On the other hand, alternative searching technique, such as [23], involves exhaustive triangulation of 2-D domain. Still, it does not guarantee that all the roots can be found and the risk of missing root is higher due to the irregular discretization of the domain. Overall, there are still uncertainties and difficulties in solving for poles and zeros using these methods. Unlike the approach above, by using the Belevitch determinant (15), one will have the poles and zeros separated completely, with only poles and no zeros in the LHP. There is no need to estimate the number of poles or zeros, thus obviating the forming of successive system matrices and checking if they are singular. The poles can then be solved as the roots of polynomial. Hence, there is no need to divide the contour excessively until the poles are tightly enclosed. In practice, one would still consider dividing the contour sufficiently to reduce the number of enclosed poles in each contour. This should lower the polynomial order to avoid ill-conditioned problem. The Belevitch determinant in (15) further allows us to evaluate (16) on the contours in the RHP with f (s) = [instead of in the LHP with f (s) = 1/]. The poles of
Fig. 2. S-parameters of a coupled-line filter with Chebyshev response (N = 3). Inset: layout of N + 1 sections coupled-line filter along with even and odd characteristic impedances of the individual section.
can then be obtained subsequently by reflecting all the zeros of f (s) symmetrically along j ω axis. This will bypass the computation of 1/ inversion for greater simplicity and robustness. Apart from the sole determinant in (15), the Belevitch theorem can also be applied for other S-parameter expressions or combinations. For instance, one may consider f (s) = S21 / to solve directly for the transmission zeros (zeros of S21 ) or consider f (s) = S11 / or f (s) = S22 / to solve directly for the reflection zeros of a microwave filter. All in all, many opportunities arise from the application of the Belevitch theorem, which could be further explored for the pole-zero analysis of microwave filters. IV. A PPLICATIONS FOR M ICROWAVE F ILTERS A. Applications I: Classical Filters We shall demonstrate the applications of the Belevitch theorem and the contour integration method to solve for poles and zeros of several microwave filters. First, consider the classical coupled-line filter in Fig. 2 [1]. The specifications of the bandpass filter are Chebyshev response with N = 3, passband ripple L Ar = 0.5 dB, center frequency f 0 = 2 GHz, and fractional bandwidth FBW = 0.1. The filter is realized by four (N + 1 = 4) coupled-line sections. The S-parameters of the filter are shown in Fig. 2. From the S11 plot, three reflection zeros can be observed, which may lead one to deduce that there are three poles within its passband in line with the third-order specification. Using the contour integration method for the Belevitch determinant with contour paths enclosing the passband around f 0 = 2 GHz, the poles are determined as (normalized by 1 GHz) s p1 = 2π(−0.03124 + j 1.89849) s p2 = 2π(−0.06259 + j 2.00000) s p3 = 2π(−0.03124 + j 2.10151) s p4 = 2π(−1.88540 + j 2.00000) s p5 = 2π(−1.88549 + j 2.00000).
(18)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAN AND HEH: APPLICATION OF BELEVITCH THEOREM FOR POLE-ZERO ANALYSIS OF MICROWAVE FILTERS
5
passband ripple L Ar = 0.01 dB. f 0 is still maintained at 2 GHz. The S-parameters are also shown in Fig. 2. Three reflection zeros are still visible from the S11 plot. Meanwhile, the number of poles determined from the determinant contour integration method is still five around the passband. The poles are subsequently solved as s p1 = 2π(−0.15601 + j 1.67367) s p2 = 2π(−0.71330 + j 2.00000) s p3 = 2π(−0.58349 + j 2.00000) s p4 = 2π(−0.44182 + j 2.00000) s p5 = 2π(−0.15601 + j 2.32633).
(21)
For verification, the transform method is again utilized to obtain the roots in the z domain as
Fig. 3. (a) Real and (b) imaginary parts of S21 . Absolute errors in (c) real and (d) imaginary parts of S21 .
It can be seen that there are five poles within the contour. For verification, we also solve the poles by utilizing the transform method and find the polynomial roots (with positive imaginary part only) in the z domain as z p1 = 0.07771 + j 0.97266 z p2 = j 0.95203 z p3 = −0.07771 + j 0.97266 z p4 = j 0.22746 z p5 = j 0.22744.
(19)
The poles in s domain can then be deduced from the roots (19) through the inverse of Richard transform or Euler’s identities s = ω0 /θ0 · ln z.
z p1 = 0.22427 + j 0.85578 z p2 = j 0.57108 z p3 = j 0.63237 z p4 = j 0.70680 z p5 = −0.22427 + j 0.85578.
(22)
Using (20), the poles (in s domain) are in agreement with (21). It can be seen that all five poles are now near to each other and to j ω axis. In addition, note that the two extra poles in (18) and (21) do not lie on/near the Chebyshev ellipse on the complex s plane. While the other three poles that are around the Chebyshev ellipse may contribute most to the third-order Chebyshev response, the presence of two extra poles would still affect the filter response to a certain extent (see Fig. 3). From these two examples, one should appreciate the importance of poles analysis, whereby the number and locations of the poles of a synthesized filter may be different from those originally specified.
(20)
It is found that they agree well with (18) obtained via our Belevitch determinant contour integration method, thus validating our proposed method. Interestingly, from (18), it can be seen that the last two poles s p4 and s p5 are located further away from the j ω axis. To investigate further the consequence of retaining all five poles or only first three poles s p1 , s p2 , and s p3 , Fig. 3(a) and (b) shows the real and imaginary parts of S21 constructed using all five poles and only first three poles, and they are compared to S21 obtained directly from simulation. The real zeros located on the j ω axis have also been included, considering as well the negative frequencies and periodicity of this coupled-line filter. Fig. 3(c) and (d) shows the absolute errors in the real and imaginary parts of S21 for the results constructed using five and three poles compared to the simulation. It can be observed that if one excludes the last two poles in (18), the errors are larger in both real and imaginary parts of S21 . These errors may at times be tolerable, which explain why most literature still regard the (N = 3) coupled-line filter as “third” order effectively with three poles only. Let us now modify slightly the specifications of the coupled-line filter with increased FBW = 0.2 and reduced
B. Applications II: Advanced Filters Complex zeros may sometimes be utilized to optimize the filter phase response for better group delay equalization. We now apply the Belevitch theorem and the contour integration method to analyze a linear phase filter with complex zeros from [3]. The four-pole linear phase filter has a passband from 920 to 975 MHz and can be synthesized by coupling matrix as ⎡ ⎤ 0 0.9371 0 0.1953 ⎢0.9371 0 0.6196 0 ⎥ ⎥. m=⎢ (23) ⎣ 0 0.6196 0 0.9371⎦ 0.1953 0 0.9371 0 The poles and zeros are solved using the contour integration method with Belevitch determinant. The poles and zeros are plotted on the complex s plane in Fig. 4, marked by “x” and “o,” respectively. It can be observed that four poles are visible within the passband. Moreover, a complex zero is also present. This further shows the effectiveness of our proposed method in retrieving both poles and zeros on the complex plane. For verification, the poles are also calculated using the eigenvalue approach. To that end, p can be solved from the eigenvalues of matrix comprising (23) in (11). Then, the poles are obtained
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
Fig. 4.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Poles and zeros plot of a linear phase filter.
via (12) and it is found that they are consistent with those shown in Fig. 4. Consider next the linear phase filter of (23) being cascaded with the parallel transmission lines and lumped elements in Fig. 1(b). The capacitances are set as C1 = 1 pF and C2 = 2 pF, respectively, while θ1 = π/2 and θ2 = 3.6514π. This cascaded filter could no longer be analyzed by the transform method or the eigenvalue approach, as it now consists of transmission lines and lumped elements. Using the Belevitch theorem and the contour integration method, we are still able to determine the poles and zeros of the cascaded filter, as shown in Fig. 5. It is observed that there are additional poles and complex zeros introduced due to the cascaded parallel transmission lines and capacitors. This example has shown the capabilities of the proposed method in solving the poles and zeros of filters with transmission lines and lumped elements. The contour integration method is useful to identify the region that is certain to contain one or more zeros/poles. Once identified, one may use various efficient methods, such as Muller’s method, to locate the roots for zeros/poles. Although being more efficient, the roots may at times go out of range or may not even converge as exemplified for some cases in Table I. To demonstrate this, the zeros of filters in Figs. 4 and 5 are searched within the complex s plane using Muller’s method with different initial guesses. From the table, we can see that if the initial guesses are not sufficiently close to the zeros, the roots may go out of range, e.g., converging to the RHP zeros or other harmonic band zeros, or may even fail to converge. For sufficiently close initial guesses, the roots eventually converge within range and they agree well to the zeros in Figs. 4 and 5. Hence, this underlines the importance of identifying the correct zeros/poles region for sufficiently close initial guess, which could be provided for by the contour integration method. We next proceed to analyze a realized dual-band filter that we have designed, fabricated, and measured as in [24]. In the design therein, the poles of each passband are properly distributed on the complex s plane to provide different passband
Fig. 5. Poles and zeros plot of a linear phase filter cascaded with parallel transmission lines and lumped elements.
TABLE I ROOTS O BTAINED U SING M ULLER ’ S M ETHOD W ITH D IFFERENT I NITIAL G UESSES (N ORMALIZED TO 1 GHz)
responses that meet different specifications of each band. In our case, it is a Butterworth response in the first passband (lower band) and a Chebyshev response in the second passband (higher band). Fig. 6 (inset) shows the photograph of the fabricated filter, realized by noncommensurate transmission lines and stubs. The simulation and measurement of the S-parameters have been performed and found to be in good agreement [24, Fig. 7]. Actually, our main concern here is not only the S-parameters but also the poles and zeros, as well as whether they have been conforming to our specifications (e.g., different passband Butterworth/Chebyshev responses). With the presence of noncommensurate transmission lines and stubs, it is difficult to analyze such a filter for its poles using existing methods. Using the Belevitch theorem and the contour integration method, the poles can be solved and plotted in Fig. 6, showing three poles present around each passband for the third-order responses. To further verify each passband response, the reference Butterworth circle (first passband) and Chebyshev ellipse (second passband) are also drawn on the complex s plane based on the specification. It can be seen that the poles of each passband lie quite closely on
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAN AND HEH: APPLICATION OF BELEVITCH THEOREM FOR POLE-ZERO ANALYSIS OF MICROWAVE FILTERS
Fig. 7.
Poles and zeros plot of a dual-band filter in [25].
Fig. 8.
S-parameters of a dual-band filter in [25].
7
Fig. 6. Poles plot of a dual-band filter in [24]. Inset: photograph of the fabricated filter.
the reference circle and ellipse, which further ascertain each passband response. To the best of our knowledge, this should be the first time that the poles of such a filter synthesized with transmission lines have been analyzed and compared against the reference circle/ellipse on the complex s plane during actual TL synthesis (although many might have done so using only lumped LC elements or commensurate lines via the existing transform method or eigenvalue approach, etc.). Such analysis and comparison have been made convenient by using our Belevitch determinant contour integration method to retrieve all poles and zeros on the complex s plane. We shall analyze another realized dual-band filter from [25]. The dual-band filter is designed as the third-order filter and realized by both noncommensurate transmission lines and lumped elements [25, Fig. 9]. For such a filter or even more complex designs with multiple branches and cross connections, the corresponding S-parameters may be calculated numerically using network analysis techniques involving various network parameters. The poles are subsequently solved and plotted in Fig. 7 within the one-octave-width spectral range of 0.6–1.2 GHz. It can be observed that there are three poles around each passband. There are also other poles and zeros present outside these passbands. Utilizing the poles and zeros, S21 is constructed and plotted in Fig. 8. For comparison, the simulated S-parameters are also shown, along with the measurement data. It is evident that S21 constructed from poles and zeros agrees well with the simulated and measured data, which further verifies our proposed method. For the present
filter that consists of transmission lines and lumped elements, the existing methods, including the transform method and the eigenvalue approach, face difficulties and deficiencies. On the other hand, our Belevitch determinant contour integration method is still effective to determine all the poles and zeros with certainty within certain frequency band of interest. V. C ONCLUSION This paper has presented the application of Belevitch theorem for pole-zero analysis of microwave filters synthesized with transmission lines and lumped elements. For such filters, the S-parameters’ expressions comprise the combinations of various integer power terms and trigonometric power terms, which render the existing methods such as the transform method and the eigenvalue approach inapplicable. Hence, the Belevitch determinant based on the Belevitch theorem has been proposed to separate the poles and zeros into different half-plane regions. Using the Belevitch determinant, the con-
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
tour integration method based on argument principle has been applied to determine the poles and zeros of filter transfer functions separately and with certainty. Several applications have demonstrated the capabilities of the proposed method to effectively retrieve the poles and zeros of various microwave filters on the complex plane. R EFERENCES [1] D. M. Pozar, Microwave Engineering, 4th ed. New York, NY, USA: Wiley, 2011. [2] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA, USA: Artech House, 1980. [3] J.-S. Hong, Microstrip Filters for RF/Microwave Applications, 2nd ed. New York, NY, USA: Wiley, 2011. [4] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. Piscataway, NJ, USA: IEEE Press, 2001. [5] S. Lee and Y. Lee, “A planar dual-band filter based on reduced-length parallel coupled lines,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 16–18, Jan. 2010. [6] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [7] S. Zhang and L. Zhu, “Synthesis method for even-order symmetrical Chebyshev bandpass filters with alternative J /K inverters and λ/4 resonators,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 808–816, Feb. 2013. [8] Y. Liu and W. Dou, “A dual-band filter realized by alternately connecting the main transmission-line with shunt stubs and shunt serial resonators,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 296–298, May 2009. [9] J.-M. Muñoz-Ferreras and R. Gómez-García, “A digital interpretation of frequency-periodic signal-interference microwave passive filters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2633–2640, Nov. 2014. [10] J.-M. Muñoz-Ferreras and R. Gómez-García, “Frequency-periodic microwave passive circuits and their digital matching,” IET Microw., Antennas Propag., vol. 10, no. 14, pp. 1547–1552, Nov. 2016. [11] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems. Hoboken, NJ, USA: Wiley, 2007. [12] A. E. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [13] W. A. Atia, K. A. Zaki, and A. E. Atia, “Synthesis of general topology multiple coupled resonator filters by optimization,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, Jun. 1998, pp. 821–824. [14] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jul. 2003. [15] P. Kozakowski, A. Lamecki, P. Sypek, and M. Mrozowski, “Eigenvalue approach to synthesis of prototype filters with source/load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 98–100, Feb. 2005. [16] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999.
[17] V. Belevitch, Classical Network Theory. San Francisco, CA, USA: Holden-Day, 1968. [18] L. V. Ahlfors, Complex Analysis: An Introduction to the Theory of Analytic Functions of One Complex Variable. New York, NY, USA: McGraw-Hill, 1979. [19] J. W. Brown and R. V. Churchill, Complex Variables and Applications, 8th ed. New York, NY, USA: McGraw-Hill, 2009. [20] L. M. Delves and J. N. Lyness, “A numerical method for locating the zeros of an analytic function,” Math. Comput., vol. 21, no. 100, pp. 543–560, 1967. [21] E. Strakova, D. Lukas, and P. Vodstrcil, “Finding zeros of analytic functions and local eigenvalue analysis using contour integral method in examples,” Adv. Elect. Electron. Eng., vol. 15, no. 2, pp. 286–295, 2017. [22] L. F. Abd-Elall, L. M. Delves, and J. K. Reid, “A numerical method for locating the zeros and poles of a meromorphic function,” in Numerical Methods for Nonlinear Algebraic Equations. London, U.K.: Gordon and Breach, 1970, pp. 47–59. [23] P. Kowalczyk, “Complex root finding algorithm based on delaunay triangulation,” ACM Trans. Math. Softw., vol. 41, no. 3, 2015, Art. no. 19. [24] X. Sun and E. L. Tan, “Dual-band filter design with pole-zero distribution in the complex frequency plane,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–4, doi: 10.1109/MWSYM.2016.7540174. [25] R. Gómez-García and A. C. Guyette, “Reconfigurable multi-band microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1294–1307, Apr. 2015.
Eng Leong Tan (SM’06) received the B.Eng. degree (Hons.) in electrical engineering from the University of Malaya, Kuala Lumpur, Malaysia, and Ph.D. degree in electrical engineering from Nanyang Technological University, Singapore. From 1991 to 1992, he was a Research Assistant with the University of Malaya. From 1991 to 1994, he worked part time with the Commercial Network Corporation Sdn. Bhd., Malaysia. From 1999 to 2002, he was a Member of Technical Staff with the Institute for Infocomm Research, Singapore. Since 2002, he has been with the School of Electrical and Electronic Engineering, Nanyang Technological University, where he is currently an Associate Professor. His current research interests include computational electromagnetics and acoustics, RF/microwave circuits, and antenna designs.
Ding Yu Heh (M’14) received the B.Eng. degree in electrical engineering (Hons.) from Multimedia University, Cyberjaya, Malaysia, and the M.Sc. and Ph.D. degrees from Nanyang Technological University, Singapore, both in electrical engineering. He is currently a Senior Research Fellow with the School of Electrical and Electronic Engineering, Nanyang Technological University. His current research interests include computational electromagnetics and RF/microwave circuit designs.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Closed-Form Solution of Rough Conductor Surface Impedance Dušan N. Gruji´c , Member, IEEE
Abstract— This paper presents a closed-form solution of rough conductor surface impedance in terms of material DC conductivity and RMS roughness. Surface roughness is modeled by position-dependent conductivity at conductor-dielectric boundary to account for protrusion of rough conductor into dielectric, which was first proposed in the Gradient model. Analytic solution is obtained by approximating the Gauss cumulative distribution function, used to model the position-dependent conductivity in the Gradient model, with a square of hyperbolic tangent. Closed-form solutions are compared with numerical solutions of the Gradient model and are in good agreement up to a frequency of 100 GHz. Derived surface impedance is causal, which has been shown by numerical Hilbert transform. Index Terms— Causality, closed-form, conductor loss, surface impedance, surface roughness.
I. I NTRODUCTION
M
ODELING the effects of conductor surface roughness is important in general, especially for signal integrity analysis of high-speed digital interconnect. Rough conductors exhibit additional losses compared to skin effect losses of smooth conductors, leading to faster frequency response roll-off and degradation of eye opening. Since real and imaginary parts of the propagation constant are related, any frequency-dependent loss is accompanied by corresponding phase delay, so rough conductors exhibit increased dispersion in comparison to smooth conductors [1]–[3]. Therefore, it is essential for a surface roughness model to predict both losses and phase delay, which corresponds to determining real and imaginary part of surface impedance. In addition, real and imaginary parts of surface impedance should be related by the Hilbert transform to preserve causality. Over the years, many surface roughness models have emerged—some of them predict only excess losses, others predict excess delay as well. Parameters used in these models are various; however in most cases, the values of parameters are obtained from measurement results by fitting. One of the early models is the Hammerstad–Jensen model [4] that predicts only excess losses. Hammerstad– Jensen model predicts that a rough conductor can have at most two times higher losses than a smooth conductor. Experimental Manuscript received February 6, 2018; revised May 2, 2018 and June 29, 2018; accepted July 17, 2018. The author is with the School of Electrical Engineering, University of Belgrade, 11000 Belgrade, Serbia, and also with Lime Microsystems, 11070 Belgrade, Serbia (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2864586
data show that rough conductor can have more than twice the losses of smooth conductor, so the validity of this model is limited to lower frequencies and low profile copper foils. One approach to overcome the limits of Hammerstad– Jensen model was to use several layers of different conductivities to match the measurement [5]. Other approaches have physical origins—3-D hemispherical model [6] and stacked snowball (Huray) model [7], which predict only excess losses and are not causal. Although these models have physical origins and have been successfully used to model rough conductor losses at frequencies above 40 GHz, their parameters are usually determined from measurements. It has been recognized that the surface roughness introduces additional phase delay due to increased loss [1], [3]. Frequency-dependent loss must be accompanied by frequencydependent increase of internal inductance L int to preserve causality. Excess delay can be attributed to apparent increase of dielectric constant instead of L int , which is commonly done by manufacturers of high-frequency laminates by specifying “material Dk” and “design Dk,” where the latter is always greater. The correct way to model the excess phase delay is to account for the increased L int instead of using “design Dk.” Causal models correctly predict L int and excess phase delay, so there was an interest to extend physical models. To illustrate the complexity of causal models, there are only few analytic models, such as [8], which are valid only for assumed geometry and do not account for surface roughness. Snowball model was extended to be causal in [9], and it models both excess loss and phase due to surface roughness. Gradient model [10]–[13] is based on the idea of modeling the protrusion of rough metal into dielectric by using position-dependent conductivity at the metal–dielectric interface. It is in excellent agreement with measurement results up to 100 GHz and does not rely on fitting the model parameters. The only parameters of Gradient model are material DC conductivity and RMS surface roughness, both of which are usually specified for high-frequency laminates and can also be measured. Gradient model uses the Gauss cumulative distribution function for position-dependent conductivity, resulting in differential equation that can be solved only numerically. In this paper, an approximation of the Gauss cumulative distribution function was made, and closed-form solutions for magnetic field and surface impedance were derived. Gradient model numerical solutions of magnetic field and surface impedance are used as a reference for comparison since they are in excellent agreement with measurements. A short overview of the Gradient model is given in Section II, followed
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
2
of the form e x erfc(−x), which can be evaluated directly by the scaled complementary error function erfcx(−x) [14], and is implemented in the Netlib Specfun package. Using these improvements, (4) becomes √ d By d 2 By 2 ωμσ0 erfc(−x)B = 0. − j − erfcx(−x)−1 √ y dx 2 2 dx πR (5) Fig. 1. Modeling of surface roughness with position-dependent conductivity used in the Gradient model.
by derivation of approximate analytic solution in Section III. Discussion and comparison with published results are given in Section IV. Final remarks and conclusion are given in Section V. II. OVERVIEW OF THE G RADIENT M ODEL The main idea of Gradient model [10]–[13] is to represent the rough material surface as position-dependent conductivity, as shown in Fig. 1. Based on the experimental data, conductivity profile in [13] was selected to have a normal (Gauss) distribution, and the position-dependent conductivity σ (x) is its cumulative density function (CDF) x − u2 1 2 e 2Rq du (1) σ (x) = σ0 CDF(x) = σ0 √ Rq 2π −∞ where σ0 is the material DC conductivity and Rq is the measured RMS conductor roughness. Parameters other than σ0 and Rq are not needed, which allows the use of the Gradient model to make predictions prior to fabrication and measurement. Starting from Maxwell’s equations, assuming that the magnetic field is translation invariant and taking into account position-dependent conductivity σ (x), which corresponds to rough conductor conductivity profile, a second-order differential equation for magnetic field parallel to the surface of conductor By is derived in [13] d By d 2 By d log σ · = 0. (2) − jωμ0 σ By − dx 2 dx dx Position-dependent conductivity may also be expressed in terms of error function σ0 x σ (x) = . (3) 1 + erf √ 2 2Rq Using position-dependent conductivity (3) in (2) results in differential equation that can only be solved numerically −
x2
d 2 By σ0 e 2R2 d By = 0. − jωμσ (x)B − √ y dx 2 2π Rσ (x) dx
(4)
Closed-form solution of (2) can be obtained by approximating (3), which will be presented in Section III. Solving (4) numerically is ill-conditioned because it contains the term 1 + erf(x) that suffers from the loss of precision due to cancellation since erf(x) ≈ −1 for x < −3Rq . Numerical stability can be improved by replacing 1 + erf(x) with erfc(−x). In addition, coefficient of the term d By /dx is
Solution of (5) can be used to calculate the surface impedance of a rough conductor [13] By dx Z ,rough = − j ω σ >0 (6) σ >0 Jz dx where the current density normal to the cross section of the conductor Jz (see Fig. 1) is [13] Jz =
1 d By . μ0 dx
(7)
Surface impedance (6) accounts for skin effect, excess losses, and delay caused by rough conductor surface. III. A PPROXIMATE S URFACE ROUGHNESS G RADIENT M ODEL D ERIVATION Position-dependent conductivity can be approximated as
2 x σ0 1 + tanh +ξ (8) σˆ (x) = 4 χ √ where χ = 2Rq and ξ is a constant. The choice of ξ does not affect the derivation of closed-form solution for surface impedance, so it can be chosen arbitrarily, but affects its value. For σˆ (0) = σ (0) √ = σ0 /2, constant ξ should have the value of ξ = −atanh(1 − 2). In this paper, the value of ξ is chosen to be 1/2, for which σˆ (0) = σ0 /2. Discussion on the choice of ξ is given in the following. Approximate conductivity σˆ (x) is constructed with two goals in mind: to get a closed-form solution for the surface impedance and to correctly predict its value. Numerical experiments conducted by the author in the course of trying different approximations have shown that the rough conductors, for example, Rq = 1 μm, exhibit increased loss if the conductance for x < 0, which corresponds to the region outside of the conductor, is not closely following (3) on logarithmic scale. Conductivity (3) rapidly decreases—more than six orders of magnitude at a distance of −5Rq . If the conductivity decays slower than (3), the magnetic field will extend further into low conductivity area at higher frequencies, which causes increased loss. Critical area for modeling is where the conductance drops by three orders of magnitude, approximately x = (−3 Rq , 0). For this reason, ξ was chosen √ to be 1/2, for which σˆ (0) = σ0 /2, instead of −atanh(1 − 2). Comparison of exact (3) and approximate (8) normalized position-dependent conductivity for ξ = 1/2 and ξ = √ −atanh(1 − 2) on linear and log scale is shown in Fig. 2. It can be seen that σˆ (x) for ξ = 1/2 closely follows σ (x) , 0) on the logarithmic scale. The in the region x = (−3 Rq√ choice of ξ = −atanh(1 − 2) is slightly underestimating the conductivity, leading to increased losses.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ CLOSED-FORM SOLUTION OF ROUGH CONDUCTOR SURFACE IMPEDANCE GRUJIC:
3
differential equation in terms of variable u is d By d 2 By − α 2 By + u(3u − 1)(u − 1) = 0. (19) dt 2 du Assuming that the solution is of the form u 2 (u − 1)2
By (u) = u α (1 − u)β f (u)
Fig. 2. Comparison of exact (3) and approximate (8) position-dependent conductivity on linear and log scale for Rq = 1 μm.
It is convenient to express (8) in the form
x +ξ σˆ (x) = σ0 θ χ
differential equation (19) takes the form of hypergeometric differential equation (see Appendix B) for the value of β 1 β = ( 1 + 4α 2 − 1). (21) 2 The solution of the hypergeometric differential equation (50) is the Gauss hypergeometric function 2 F1 (see Appendix A), 2 so the unknown function is f (u) =2 F1 [ab1 ,a u] with parame1 ters a1 = α + β
(9)
a2 = a1 + 2 b1 = 1 + 2α.
where θ (x) is 1 [1 + tanh(x)]2 . 4 Substituting (9) into (2) results in
d 2 By 2 x + ξ By − j 2θ dx 2 δ χ
d By d x · log σ0 θ +ξ =0 − dx χ dx θ (x) =
(20)
(10)
(22)
The other solution of (19) can be obtained directly by using the relation between solutions of hypergeometric differential equation (51). Solutions for By in terms of variable u are then ±α + β, 2 ± α + β ±α β By,{1,2} (u) = u (1 − u) 2 F1 ; u . (23) 1 ± 2α
(11)
Solutions for the magnetic field By (23) can be transformed by applying the identity (52) from Appendix C
where the expression for skin depth δ = (2/(ωμ0 σ0 ))1/2 was used. By performing variable substitution t = x/χ + ξ
By,{1,2} (u) = u α (1 − u)∓α ±α + β, ±α − β − 1 u . (24) ; · 2 F1 u−1 1 ± 2α
dt d2 t = χ −1 =0 (12) dx dx 2 and using the derivative chain rule, d 2 By /dx 2 evaluates to
d2 By dt 2 d By d2 t d2 By −2 d 2 By = + = χ . (13) dx 2 dt 2 dx dt dx 2 dt 2 Taking the derivative d/dt log θ (t), the differential equation in terms of variable t is d 2 By d By = 0 (14) − α 2 (1 + tanh(t))2 By − 2(1 − tanh(t)) dt 2 dt where α is (1 + j)χ (1 + j) √ α= (15) = Rq μ0 ωσ0 . 2δ 2 Converting tanh(t) to exponential form results in d 2 By d By 1 −4t e (1+e2t )2 2 −α 2 By − e−4t (1 + e2t ) = 0. (16) 4 dt dt Performing a variable substitution d2 u du = −2u = 4u (17) dt dt 2 and using the derivative chain rule
d 2 By d 2 By d2 By du 2 d By d2 u d By +u 2 = + = 4u dt 2 du 2 dt du dt 2 du d u (18) u = −e−2t
Solution for magnetic field in terms of variable x can be obtained by substitution u → −e−2(x/χ+ξ )
∓α −2α χx +ξ −2 χx +ξ By,{1,2} (x) = e 1+e ±α + β, ±α −β − 1 1
. · 2 F1 ; 1 ± 2α 2 x +ξ 1+e χ (25) Finally, the solution for magnetic field By is By (x) = C1 By,1 (x) + C2 By,2 (x)
(26)
where C1,2 are the complex constants determined by the boundary conditions. Insight of whether both solutions are physical can be obtained by checking the asymptotic behavior of solutions By,1 and By,2 when x → ±∞ lim By,1 (x) = 0
x→∞
(1 + 2α) (α + β)(α − β − 1) lim By,2 (x) = ∞
lim By,1 (x) =
x→−∞
x→∞
lim By,2 (x) =
x→−∞
(1 − 2α) . (−α + β)(−α − β − 1)
(27) (28) (29) (30)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
From (29), it can be seen that the solution By,2 grows without bound when x → ∞, while it is expected to vanish inside a conductor. Therefore, solution By,2 should be discarded by setting C2 = 0, so the solution for magnetic field By is α + β, α − β − 1 By (x) = C · ζ α 2 F1 ;ζ (31) 1 + 2α where ζ is
2 x +ξ −1 ζ = 1+e χ .
(32)
Solution for magnetic field (31) should be valid for cases of rough and smooth conductors. Magnetic field inside of a smooth conductor is known to be B(0)e−((1+j)x/δ) and B(0) outside of it. Validity of (31) can be checked by taking a limit when Rq → 0, which corresponds to a smooth conductor (1+j)x Ce− δ , x > 0 lim By (x) = (33) R→0 C, x < 0. Limits (33) correctly predict the magnetic field inside and outside of the smooth conductor. Having the solution for magnetic field, surface impedance (6) can be calculated from integrals of By and current density Jz . Integral of current density Jz in the conductive region is ∞ d By By (x 0 ) 1 dx = − Jz dx = (34) μ0 x0 dx μ0 σ >0 where the definition of current density (7) was used in the second step, x 0 is the point at which the conductive region begins, and the fact that magnetic field vanishes inside the conductor was used, so By (∞) = 0. Using (34) in (6) results in ∞ By (x)dx By (x) = j μ0 ω dx. Z ,rough = j μ0 ω σ >0 By (x 0 ) x 0 By (x 0 ) (35) It is interesting to note that surface impedance is an integral of the normalized magnetic field By (x)/By (x 0 ), where the normalization constant B(x 0 ) depends on the choice of x 0 and so does the value of surface impedance. Discussion on the choice of x 0 is given in Section IV. Antiderivative B = By (x)dx of the magnetic field (31) is needed to compute the surface impedance (6) α + β, α − β − 1 B = ζ α 2 F1 ; ζ dx. (36) 1 + 2α Using a change of variable u=ζ
2 du = u(u − 1) dx χ
transforms (36) to α−1 χ α + β, α − β − 1 u B= ; u du. 2 F1 2 u−1 1 + 2α
(37)
(38)
Expression (38) is not integrable due to (u − 1)−1 term. 2 ; z] Noticing that hypergeometric function’s f (u) = 2 F1 [ab1 ,a 1 coefficients in (38) are such that b1 − a1 − a2 = 2, a term
Fig. 3. Approximate analytic and numerical solutions of normalized magnetic field in vacuum for Rq = 1 μm at the frequencies of 1, 10, and 100 GHz.
(1 − u)2 can be introduced by using the transformation (54) from Appendix C, which results in 1 + α − β, 2 + α + β χ ; u du. B= (u − 1)u α−1 2 F1 2 1 + 2α (39) 2 ; z] is Finding antiderivative of the type z ρ f (u) = 2 F1 [ab1 ,a 1 presented in Appendix D. Using (60) and replacing u with ζ
1 χ α ζ (40) F(1, ζ ) − F(0, ζ ) B= ζ 2 1+α α
where F(i, ζ ) is
F(i, ζ ) = 3 F2
1 + α − β, 2 + α + β, i + α ;ζ . 1 + 2α, 1 + i + α
(41)
Finally, surface impedance can then be calculated by using (40) in (35) B(x 0 ) . Zˆ ,rough = −jμ0 ω By (x 0 )
(42)
IV. D ISCUSSION AND C OMPARISON W ITH P UBLISHED R ESULTS Gradient model derivation and numerical solutions together with measurement results have been published in [13], and they will be used as reference for comparison with results presented in this paper. Analytic solution has been implemented completely in Python by using mpmath [15] package for evaluation of 2 F1 and 3 F2 . Optionally, Fortran code [16] can be used to accelerate the evaluation of generalized hypergeometric function 3 F2 . Results in this section are calculated for the case of copper in vacuum with σ0 = 58 MS/m and parameter ξ = 1/2. Comparison of normalized magnetic field intensity |By,norm | obtained by Gradient model numerical solution (dashed curves) and approximate analytic solution (solid curves) for the case of copper RMS roughness of Rq = 1 μm at the frequencies of 1, 10, and 100 GHz is shown in Fig. 3. It can be seen that the approximate analytic and numerical solutions are in good agreement at all frequencies.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ CLOSED-FORM SOLUTION OF ROUGH CONDUCTOR SURFACE IMPEDANCE GRUJIC:
5
Fig. 5. Comparison of (a) surface impedance and (b) relative error calculated by approximate analytic (solid curves) and numerical (dashed curves) solutions. Relative error of x is calculated as 100(xanalytic −xnumerical )/xnumerical .
Fig. 4. Comparison of real and imaginary parts of normalized magnetic field By in vacuum calculated by the approximate analytic (solid curves) and numerical (dashed curves) solutions for (a) Rq = 1 μm, (b) Rq = 0.5 μm, and (c) Rq = 0.25 μm, at the frequencies of 1, 10, and 100 GHz.
Expression for surface impedance (35) shows that it is proportional to the integral of normalized magnetic field By,norm . Real part of Z ,rough, which introduces losses, is proportional to the imaginary part of the integral, whereas the imaginary part of Z ,rough, which introduces delay, is proportional to the real part of the integral. Therefore, it is important to examine whether the real and imaginary parts of approximate analytic solution are in good agreement with Gradient model numerical solution. Comparison of normalized magnetic field By,norm real and imaginary parts calculated by approximate analytic and numerical solutions is shown in Fig. 4. It can be seen that the real and imaginary parts
of approximate analytic and numerical solutions are in good agreement. From Fig. 4, it can also be seen that the imaginary part of normalized magnetic field is nonzero only around x = 0, which corresponds to the region modeled by position-dependent conductivity and interior of the conductor. Consequently, it could be integrated in the interval (−∞, +∞), and the value of the integral would be finite. However, the real part of normalized magnetic field is constant and equal to one outside of the conductor, so the choice of lower integration limit x 0 where σ > 0 affects the value of integral. In particular, the choice of x 0 affects the value of the imaginary part of surface impedance. Results from [13] correspond to the choice of x 0 = −5Rq , which is also used in this paper. Surface impedance was calculated by using (42) for RMS roughness of Rq = {0.25, 0.5, 1} μm up to a frequency of 100 GHz and is compared with numerical solution in Fig. 5. Real part of surface impedance is within 6 % of the Gradient model solution, while the relative error of imaginary part is less than 1.5 %. The concept of effective conductivity and permeability was used in [13] as another way to show the effect of surface roughness on frequency-dependent loss and delay Z ,rough =
1 1 +j σeff δ(σeff ) σ0 δ(μr,eff )
(43)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 7. Comparison of | Zˆ ,rough | and impedance magnitude obtained by using the Hilbert transform.
Fig. 6. Comparison of (a) σeff and μr,eff and (b) relative error calculated by approximate analytic (solid curves) and numerical (dashed curves) solutions. Relative error of x is calculated as 100(xanalytic − xnumerical )/xnumerical .
Magnitude of the surface impedance can be calculated up to a multiplicative constant c from the Hilbert transform of its phase by using (47). If the surface impedance is causal, then (47) and (42) would be equal for some value of c. The Hilbert transform of arg( Zˆ ,rough (ω)) was calculated by interpolating it with cubic splines and using the algorithm proposed in [18]. Multiplicative constant c was determined so that (47) is equal to | Zˆ ,rough| at a frequency of 100 GHz, and their comparison is shown in Fig. 7. Relative error of (|Z (ω)| − | Zˆ ,rough|)/| Zˆ ,rough | is lower than 4 · 10−3, which shows that the surface impedance is causal. V. C ONCLUSION
from which σeff = μr,eff =
μ0 ω 2{Z ,rough}2
(44)
2σ0 {Z ,rough}2 . ω
(45)
Effective conductivity (44) and relative permeability (45) have been calculated from surface impedance obtained by approximate analytic and numerical solutions for RMS roughness of Rq = {0.25, 0.5, 1} μm up to a frequency of 100 GHz, and the results are presented in Fig. 6. Approximate analytic and numerical values are in good agreement—effective conductivity is within 10 % of Gradient model numerical solution, while the effective permeability is within 2.5 % up to a frequency of 100 GHz. Causality of the approximate surface impedance (42) could be verified by using the magnitude–phase relation of minimum-phase function [17] H{log |Z (μ)|}(ω) = arg(Z (ω))
(46)
where H is the Hilbert transform. Using the property of inverse Hilbert transform H {H f } = − f + c, where c is an arbitrary constant, results in |Z (ω)| = c · e−H{arg(Z (μ))}(ω) .
(47)
Closed-form solutions for the magnetic field By and surface impedance Z ,rough of rough conductors have been derived in this paper by approximating the position-dependent conductivity of the Gradient model. Results are in good agreement with the Gradient model numerical solution—real and imaginary parts of surface impedance are within 6 % and 1.5 %, respectively, while the effective conductivity and permeability are within 10 % and 2.5 %, respectively, up to a frequency of 100 GHz for copper with RMS roughness up to 1 μm. Derived surface model is causal, which has been shown by numerical Hilbert transform. A PPENDIX A G ENERALIZED H YPERGEOMETRIC F UNCTION D EFINITION Generalized hypergeometric function p Fq is defined by the series [19, p. 404] ∞ (a1 )k · · · (a p )k z k a1 , · · · , a p (48) ;z = p Fq b1 , · · · , bq (b1 )k · · · (bq )k k! k=0
where (α)k is the Pochhammer symbol α(α + 1) · · · (α + n − 1), n = 1, 2, . . . (α)k = 1, n = 0.
(49)
Special case 2 F1 is called the Gauss hypergeometric function, and it has been studied extensively because it occurs frequently in physical problems.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ CLOSED-FORM SOLUTION OF ROUGH CONDUCTOR SURFACE IMPEDANCE GRUJIC:
A PPENDIX B H YPERGEOMETRIC D IFFERENTIAL E QUATION
R EFERENCES
2 Gauss hypergeometric function 2 F1 [ab1 ,a ; z] is a solution 1 of the hypergeometric differential equation [20, pp. 1014 and 1020]
z(z − 1)
df d2 f − a1 a2 f = 0. (50) + [b1 − (a1 + a2 + 1)z] dz 2 dz
2 If f1 (z) = [ab1 ,a ; z] is one solution of (50), the other solution 1 is [19, p. 395] a1 − b1 + 1, a2 − b1 + 1 1−b1 f 2 (z) = z ; z . (51) 2 F1 2 − b1
A PPENDIX C G AUSS H YPERGEOMETRIC F UNCTION 2 F1 I DENTITIES Hypergeometric the identities [20, a1 , a2 ;z = 2 F1 b 1 a1 , a2 ;z = 2 F1 b 1 a1 , a2 F ; z = 2 1 b1
function 2 F1 can be transformed by using p. 1018] a1 , b1 − a2 z (52) (1 − z)−a1 2 F1 ; b1 z−1 b1 − a1 , a2 z (53) (1 − z)−a2 2 F1 ; b1 z−1 b1 − a1 , b1 − a2 (1 − z)b1 −a1 −a2 2 F1 ;z . b1 (54)
A PPENDIX D A NTIDERIVATIVE OF z ρ 2 F1 [ab11,a2 ; z] The antiderivative I =
z
ρ
2 F1
a1 , a2 ; z dz b1
(55)
can be calculated by using the definition (48) and assuming that the series is convergent, so the order of summation and integration can be exchanged ∞ ∞ (a1 )k (a2 )k z k+ρ (a1 )k (a2 )k zk I= dz = z ρ+1 . (b1 )k k! (b1 )k (1 + ρ + k) k! k=0
k=0
(56) Observing that (1 + ρ)k (1 + ρ)(2 + ρ)(3 + ρ) · · · (ρ + k) = (2 + ρ)k (2 + ρ)(3 + ρ) · · · (ρ + k)(1 + ρ + k)
(57)
the term (k + ρ + 1)−1 can be expressed as 1 1 (1 + ρ)k = 1+ρ+k 1 + ρ (2 + ρ)k and the antiderivative becomes ∞ z ρ+1 (a1 )k (a2 )k (1 + ρ)k z k I = . 1+ρ (b1 )k (2 + ρ)k k!
[1] J. C. Rautio, B. J. Rautio, S. Arvas, A. F. Horn, and J. W. Reynolds, “The effect of dielectric anisotropy and metal surface roughness,” in Proc. IEEE Asia–Pacific Microw. Conf., Dec. 2010, pp. 1777–1780. [2] A. F. Horn, J. W. Reynolds, and J. C. Rautio, “Conductor profile effects on the propagation constant of microstrip transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 868–871. [3] A. F. Horn, III, J. W. Reynolds, P. A. LaFrance, and J. C. Rautio, “Effect of conductor profile on the insertion loss, phase constant, and dispersion in thin high frequency transmission lines,” in Proc. DesignCon, 2010, pp. 1–22. [4] E. Hammerstad and O. Jensen, “Accurate models for microstrip computer-aided design,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1980, pp. 407–409. [5] J. C. Rautio and V. Demir, “Microstrip conductor loss models for electromagnetic analysis,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 3, pp. 915–921, Mar. 2003. [6] S. Hall et al., “Multigigahertz causal transmission line modeling methodology using a 3-D hemispherical surface roughness approach,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2614–2624, Dec. 2007. [7] P. G. Huray, O. Oluwafemi, J. Loyer, E. Bogatin, and X. Ye, “Impact of copper surface texture on loss: A model that works,” in Proc. DesignCon, vol. 1, pp. 462–483, Jun. 2010. [8] A. R. Djordjevi´c, M. Stojilovi´c, and T. K. Sarkar, “Closed-form formulas for frequency-dependent per-unit-length inductance and resistance of microstrip transmission lines that provide causal response,” IEEE Trans. Electromagn. Compat., vol. 56, no. 6, pp. 1604–1612, Dec. 2014. [9] E. Bracken, “A causal Huray model for surface roughness,” in Proc. DesignCon, 2012, pp. 2880–2914. [10] G. Gold and K. Helmreich, “A physical model for skin effect in rough surfaces,” in Proc. 7th Eur. Microw. Integr. Circuit Conf., Oct. 2012, pp. 631–634. [11] G. Gold and K. Helmreich, “Effective conductivity concept for modeling conductor surface roughness,” in Proc. DesignCon, 2014, pp. 480–500. [12] G. Gold and K. Helmreich, “Surface impedance concept for modeling conductor roughness,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–4. [13] G. Gold and K. Helmreich, “A physical surface roughness model and its applications,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 10, pp. 3720–3732, Oct. 2017. [14] W. J. Cody, “Rational Chebyshev approximations for the error function,” Math. Comput., vol. 23, no. 107, pp. 631–637, 1969. [15] F. Johansson et al. (Dec. 2013). mpmath: A Python Library for ArbitraryPrecision Floating-Point Arithmetic (version 0.18). [Online]. Available: http://mpmath.org/ [16] W. F. Perger, A. Bhalla, and M. Nardin, “A numerical evaluator for the generalized hypergeometric series,” Comput. Phys. Commun., vol. 77, no. 2, pp. 249–254, 1993. [17] A. Djordjevi´c and D. Tosi´c, “Causality of circuit and electromagneticfield models,” in Proc. 5th Eur. Conf. Circuits Syst. Commun. (ECCSC), Nov. 2010, pp. 12–21. [18] D. N. Gruji´c, “Numerical Hilbert transform algorithm for causal interpolation of piecewise polynomial even and odd functions,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 6, pp. 2000–2007, Jun. 2017. [19] National Institute of Standards and Technology (U.S.), NIST Handbook of Mathematical Functions. Cambridge, U.K.: Cambridge Univ. Press, 2010. [20] I. S. Gradshteˇin and D. Zwillinger, Table of Integrals, Series, and Products, 8th ed. Boston, MA, USA: Elsevier, 2015.
(58)
(59)
k=0
a ,a ,1+ρ
7
2 Series in (59) is equal to 3 F2 [ b1 1 ,2+ρ ; z] by the definition of generalized hypergeometric function (48). Antiderivative of (55) is then z ρ+1 a1 , a2 a1 , a2 , 1 + ρ ρ ; z . (60) ; z dz = z 2 F1 3 F2 b1 , 2 + ρ b1 1+ρ
Dušan N. Gruji´c (M’11) received the Dipl.Ing. and Ph.D. degrees from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 2006 and 2014, respectively. He is currently an RFIC Designer with Lime Microsystems, Belgrade, and an Assistant Professor with the School of Electrical Engineering, University of Belgrade. His current research interests include RF and mm-wave integrated circuit design for communication systems, and applied electromagnetics.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A GaN HEMT Global Large-Signal Model Including Charge Trapping for Multibias Operation Gian Piero Gibiino , Member, IEEE, Alberto Santarelli , Member, IEEE, and Fabio Filicori Abstract— This paper presents a novel empirical model for gallium nitride on silicon carbide high-electron mobility transistors. A global state-space formulation describes charge trapping effects by means of suitable 2-D nonlinear lag functions of the applied voltages, extracted from a reduced set of double-pulse current–voltage characteristics. The implementation in CAD tools involves a simple equivalent circuit and lookup tables, making the model well suited for power amplifier design in the presence of signals of practical interest. An extensive validation at both low (4 MHz) and radio frequencies (5.5 GHz) exhibits good accuracy and a robust performance prediction for the operation above the cut-off of dispersive phenomena, across different operating classes and loads, in terms of output power, poweradded efficiency, and third-order intermodulation distortion. These results show that traps with both linear and nonlinear dynamics are stimulated in large-signal operation, and that these must be taken into account for global model predictions. Index Terms— Charge trapping, compact transistor modeling, empirical modeling, gallium nitride high-electron mobility transistor (GaN HEMT), pulsed measurements.
I. I NTRODUCTION
W
ITH its high cut-off frequency, high breakdown voltage, and its capability to handle high power densities, gallium nitride (GaN) allows for unprecedented radio frequency (RF) power amplifier (PA) performance. While the technological improvements have progressively reduced the production costs and increased the long-term reliability of commercial high-electron mobility transistors (HEMTs) and microwave monolithic integrated circuit processes on silicon carbide (SiC) [1], it has been shown that GaN devices inherently suffer from the presence of charge trapping phenomena [2]–[7]. This is commonly justified in terms of both surface [2] and buffer trap states [3]. For instance, an Fe-doped GaN buffer is typically inserted for reducing leakage currents and increasing the breakdown voltage yet causing higher trap concentration [4]. In fact, it has been shown [2] that a larger amount of charges are trapped at increasing drain voltages (and at decreasing gate voltages toward pinch-off), also involving the presence of nonlinear dynamics. These mechanisms impair the performance in terms of maximum RF power, distortion, and efficiency [5], causing a critical and costly impact on the PA design cycle. Manuscript received March 7, 2018; revised May 14, 2018 and July 2, 2018; accepted July 13, 2018. (Corresponding author: Gian Piero Gibiino.) The authors are with the Department of Electrical, Electronic, and Information Engineering “Guglielmo Marconi,” University of Bologna, 40136 Bologna, Italy (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2857830
Moreover, the dynamics of charge trapping exhibit time constants spreading from very small up to very large values [6], inducing mixed mechanisms at RF [7], and worsening the PA behavior, especially in the presence of wideband modulated signals with narrow frequency spacings. While physics-based models [8] are less suitable for circuitlevel CAD simulations and are not usable for modulated excitations due to their computational load, compact models are best suited for PA design [9]–[11]. Thanks to their compatibility with CAD tools, ease of use, and straightforward response interpretation, these models are popular among designers. However, they may be subject to parameter identification pitfalls and may provide only local accuracy in describing the complex GaN trapping mechanisms. This may happen whenever the analytical functions describing the device behavior are nonlinearly dependent on the parameters, so that nonlinear optimization algorithms used for model extraction may lead to local minima and/or to prediction accuracy limited to a restricted range of operating conditions (e.g., single-bias models). Alternatively, behavioral modeling approaches [12]–[14] exploit the availability of nonlinear measurement techniques for collecting a large set of measured data under many operating conditions. They leverage on advanced learning procedures, such as neural networks, for the extraction of a compact description of the device, and provide very accurate predictions in an automated way. Nevertheless, they may not give access to the current generator plane, and they are critically influenced by the robustness of the extensive learning phase, during which the device-under-test (DUT) may undergo a considerable stress. Other works [15]–[25] adopt hybrid forms between parametric analytical expressions and behavioral methods in order to overcome their respective limitations. They may be based on the combined compact and behavioral approaches [15], implement an iterative extraction refinement [16], or involve the use of state-space descriptions [17], [18]. Very often, they aim at developing specific techniques to identify the dispersive effects in the most direct way, circumventing expensive characterization sweeps. These include the control of self-heating, the use of low-frequency (LF) continuous wave (CW) [19] or isothermal pulsed excitations [17], [18], [20]–[25], and transient characterization methods [26]. In this context, it should be noted that the simultanoeus presence of fast trapping and slow detrapping mechanisms impairs the classic pulsed methodology for measuring the device-pulsed current–voltage (P I V ) characteristic, so that multiple P I V s from different quiescient points [27], [28] and for different
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
pulse patterns are needed for modeling the global gate and drain lag. This inconvenience either results in models that are inherently local or involve many measurements and the approximations of multivariable outputs, thus resembling a behavioral-like approach. Starting from the preliminary results in [29], this paper proposes a new GaN HEMT empirical global model formulation and equivalent circuit CAD implementation suitable for multibias and multiclass performance predictions in both ON -state and pinched off operations above the upper cut-off of slow dispersive phenomena. While most other works depict a simplified behavior of trapping, where gate and drain voltage peaks have separate effects on the dynamic evolution of the drain current, here we adopt a state-space model involving a 2-D nonlinear dependence on gate and drain voltages. As shown in [30]–[32], these nonlinear dependencies must be accounted for in order to describe the impact of trap dynamics under large-signal excitation, which gives origin to a cyclostationary effect [30] causing I V degradation and affecting the noise [31], [32]. Here, we show the nonnegligible presence of two different types of trapping dynamics in a unified formulation. By exploiting the double-pulse (DP) technique [33], the conductive drain current is identified from a limited number of systematic pulsed acquisitions. In this sense, the proposed approach combines consistent global accuracy with the advantages of semiautomated extraction and equivalent circuit representation. This paper is organized as follows. Section II introduces the state equations and solves them for periodic steady-state operation above cut-off. The device model is formulated in Section III, whereas Section IV concerns the empirical extraction procedure. Section V reports an extensive validation under various loads, and for different classes of operation at both LF and RF. Conclusions are drawn in Section VI. II. T RAP B EHAVIOR IN P ERIODIC S TEADY-S TATE R EGIME A. State-Space Description At a given temperature, the charge trapping and release mechanisms depend on the intensity of the electric field along the physical dimensions and across the multiple material layers of the device. However, the electric field within the semiconductor cannot be directly evaluated by means of measurements, whereas the accessible control variables are the voltages applied at the transistor’s ports. Thus, in order to describe the effects of the traps under a generic excitation, we define a set of k = 1, . . . , K state variables x(t) = (x 1 (t), x 2 (t), . . . , x k (t))T dependent on the instantaneous and past values of the gate and drain voltages v(t) = (v G (t), v D (t)) by means of k functionals1 Fk τ
Sk . x k (t) = Fk |[v(t − τ )]|, 0 ≤ τ ≤ τ Sk
(1)
0
where τ Sk is the time constant representative of the slow charge release associated with the kth state. These trap state variables do not exactly coincide with specific physical charge distributions. Therefore, this formulation is not meant to separate 1 A functional is a real-valued function whose domain is a set of real functions.
Fig. 1. (a) Graphical representation (piecewise linear approximation) of the state equation describing the dynamic evolution of each charge trapping state xk . (b) Equivalent circuit implementation as a peak detector.
the effects due to the different trap energy levels or their physical location within the device, and it could be applied to different GaN technologies. Actually, the approach is aimed at a compact behavioral description of the nonlinear dynamic relationship between the applied voltages v(t) and the drain current, where a sufficient number of state variables allow to account for the different dynamic behaviors empirically observed. We define the kth quasi-static (QS) state from (1) as follows: τ Sk dv . when τ Sk · →0 x Q Sk (t) = Fk |[v(t − τ )]|, dt max 0 . = X Q Sk [v(t)] (2) with 0 ≤ τ ≤ τ Sk . According to (2), x k (t) assumes the voltagedependent QS value X Q Sk either when voltage excitations are kept strictly constant or, more practically, in the presence of slowly varying excitations that give negligible voltage variations over τ Sk . Therefore, X Q Sk is an algebraic 2-D function of the applied voltages v(t). On the basis of (1) and (2), the dynamic behavior taking place under any generic signal regime can be conveniently described in terms of the instantanoeus dynamic deviation x k (t) − X Q Sk [v(t)] by means of the following state equation: d x k (t) τ Fk h x k (t) − X Q Sk [v(t)], τ Sk , (3) , X THk dt τ Sk with k = 1, . . . , K . Function h, sketched in Fig. 1(a), models the trap-related states dynamics, whose combination generates a global drain current dynamic response. As from empirical evidence, h depends on the time constants describing fast charge capture (τ Fk ) and slow charge release (τ Sk ) phenomena. Since charge trapping is orders of magnitude faster than charge release, we will assume τ Fk /τ Sk 0. In addition, since the typical drain current collapse due to traps is triggered at certain amplitudes of the applied voltages [34] (whereas sufficiently small amplitude variations do not lead to appreciable deviations of the drain current), suitable fast trap activation threshold parameters X THk are also introduced. The dynamic behavior of each state variable x k (t) can be represented by the peak detector circuit in Fig. 1(b). It is worth noting that this ideal diode can be replaced by a conventional exponential diode in the model CAD implementation, thus avoiding possible convergence problems due to the first-order derivative discontinuity. Let us now consider the typical situation of a periodic steady-state regime above the upper cut-off of slow dispersive
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIBIINO et al.: GaN HEMT GLOBAL LARGE-SIGNAL MODEL INCLUDING CHARGE TRAPPING FOR MULTIBIAS OPERATION
Fig. 2. Approximate behavior of the dynamically linear states xiL for a periodic regime above cut-off. (a) Evaluation of the state equation. (b) Indicative evolution of the resulting derivative versus time. (c) Value of xiL reached at the QS regime. (d) Equivalent circuit implementation as a low-pass filter, as the diode of Fig. 1(b) is OFF.
phenomena, i.e., with Tv min{τ Sk }, Tv being the excitation k period. The values of x k (t) under steady-state regime can be obtained by resolving the following integral equation: Tv Tv 1 d x k (t) 1 dt h x k (t) − X Q Sk [v(t)], Tv 0 dt Tv 0 τF τ S K , k , X THk dt 0. (4) τ Sk The presence of a threshold nonlinearity in h leads to different solutions for (4). If the dynamic deviation x k (t) − X Q Sk [v(t)] does not reach its trap activation threshold X THk in the considered operating regime, the behavior of the corresponding trap state is referred to as dynamically linear, given that the state equation governing the dynamics reduces to a linear expression. Otherwise, the corresponding trap state will be referred to as dynamically nonlinear, since its behavior is governed by a combination of fast and slow dynamics, depending on the applied voltage. B. Linear Trap Dynamics Let us suppose that, in a given regime, the threshold X THk is not reached for a certain subset of state variables xL (t) = (x 1L (t), . . . , x iL (t), . . . , x IL (t))T , with i = 1, . . . , I ≤ K , that is, L L XQ Si [v(t)] − x i (t) < X THi ∀ t ∈ Tv
(5)
L L where X Q Si is the QS state associated with the i th state x i , and evaluated for the instantaneous voltages v(t). In such a case, depicted in Fig. 2, h is evaluated in a portion of its domain where it shows a linear dependence on its argument. As from (4), we have
1 Tv
Tv 0
L [v(t)] − x L (t) XQ Si i
τ Si
dt 0.
(6)
3
Fig. 3. Approximate behavior of the dynamically nonlinear states x N j for a periodic regime above cut-off. (a) Evaluation of the state equation. (b) Indicative evolution of the resulting derivative versus time. (c) Value of xN j reached at the QS regime. (d) Equivalent circuit implementation as a peak detector just as in Fig. 1(b).
For a periodic regime above cut-off, it holds Tv τ S j , so the resulting steady-state becomes Tv 1 L L L L XQ x i (t) S i [v(t)]dt = mean{X Q Si [v(t)]} = X i . t ∈Tv Tv 0 (7) This dynamically linear behavior is represented by the lowpass equivalent circuit reported in Fig. 2(d), where the diode branch is erased. Since the resulting value in (7) is practically independent of τ Si , the low-pass filter actually consists of an average value extractor. C. Nonlinear Trap Dynamics In the given regime, for the complementary subset of state variables xN (t) = (x 1N (t), . . . , x Nj (t), . . . , x JN (t))T , with j = 1, . . . , J ≤ K , J = K − I , we have N N ∃ t ∈ Tv s.t. X Q S j [v(t)] − x j (t) ≥ X TH j
(8)
N where X Q S j [v(t)] is the QS state associated with the j th N state x j , and evaluated for the instantaneous voltages v(t). As the fast trap activation threshold is trespassed, the derivative versus time of x Nj (t) sharply reaches large positive values, whereas, given that τ F j τ S j , the negative derivative versus time of x Nj (t) is comparatively much smaller. In a periodic regime above cut-off (see Fig. 3), the trap reaches the peak value within δTv Tv . Given that Tv τ S j , there is not enough time for the charge to be released during the remaining part of the period Tv − δTv , so that the charge remains captured and the trap state fixed, settling at the following value (see Appendix A): N N (9) x Nj (t) max X Q S j [v(t)] − X TH j = X j . t ∈Tv
This nonlinear dynamic behavior is coherent with the peak detector equivalent circuit shown in Fig. 3(d).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
experimentally evaluated, it can be reasonably supposed that, for the above cut-off operation, all deviations x Nj (t)− Xˆ Nj will globally contribute to the drain current by means of the peak detector mechanism described in Section II-C. Thus, in this paper, we will assume that all the contributions due to the x Nj Fig. 4.
GaN HEMT intrinsic model topology.
III. S TATE -S PACE HEMT M ODEL F ORMULATION We formulate an HEMT current model (output equation) using the topology shown in Fig. 4, for which the intrinsic gate (i G ) and drain (i D ) currents can be written as ∂ Q G [v(t), x(t), θ (t)] ∂t ∂ Q D [v(t), x(t), θ (t)] i D (t) = F[v(t), x(t), θ (t)] + (10) ∂t where F represents the conductive drain current, while Q G and Q D are the gate and drain terminal charges, respectively. The conductive gate current (relative to dashed diodes in Fig. 4) is not considered in (10). Both the charges as well as the conductive drain current are, in general, not only functions of the instantaneous voltages v(t), but also of the instantaneous device temperature θ (t) and of the K charge trapping state variables x k (t) in (1), solutions of the state equations in (3). Since we consider transistor operation above thermal cutoff, a thermal model equation based on thermal resistance is adopted here, as will be described in Section IV-E. The drain conduction current F in (10) can be approximated through linearization around a reference I V characteristic Fˆ
trap states can be modeled by a single global state variable x N coupled with a single global sensitivity function f xN , where all different X TH j are approximated by a global threshold X TH . Following the same approach, also the effects of the x iL states can be represented by means of a global state variable x L and a global sensitivity function f xL . Therefore, (12) simplifies into F[v(t), x N (t), x L (t), θ (t)] ˆ F[v(t), Xˆ N , Xˆ L , θˆ ]
i G (t) =
ˆ θˆ ] ˆ F[v(t), x(t), θ (t)] F[v(t), X, ˆ θˆ ]{x(t) − X} ˆ + fx [v(t), X, ˆ ˆ + f θ [v(t), X, θ ]{θ (t) − θˆ }
(11)
where f θ and fx = ( f x1 , . . . , f xk , . . . , f x K ) are the thermal and trap sensitivity functions, respectively, whereas θˆ is a reference ˆ = ( Xˆ 1 , . . . , Xˆ k , . . . , Xˆ K )T are K refertemperature, and X ence trap states, to be defined in the following. Accounting for the two trapping mechanisms described in Section II, we obtain the following expression: ˆ ˆ N, X ˆ L , θˆ ] F[v(t), x(t), θ (t)] F[v(t), X ˆ N, X ˆ L , θˆ ]{xL (t) − X ˆ L} + fxL [v(t), X ˆ N, X ˆ L , θˆ ]{xN (t) − X ˆ N} + fxN [v(t), X
ˆ N, X ˆ L , θˆ ]{θ (t) − θ} ˆ (12) + f θ [v(t), X
where fxL = ( f xL1 , . . . , f xLi , . . . , f xLI ) is composed by I sensitivity functions related to the xL states introduced in Section II-B, and fxN = ( f xN1 , . . . , f xNi , . . . , f xNJ ) by J sensitivity functions related to the xN states introduced in Section II-C, with I + J = K . The formulation in (12) requires tailored characterization techniques for the acquisition of an isodynamic ˆ i.e., acquired at fixed and wellreference characteristic F, defined reference temperature (θˆ ) and reference trap states ˆ N ), and therefore, it also requires suitable empirical ˆ L and X (X methods for the characterization of θ , xL , and xN . While the contribution of each single trap mechanism cannot be
+ f xL [v(t), Xˆ N , Xˆ L , θˆ ]{x L (t) − Xˆ L } + f xN [v(t), Xˆ N , Xˆ L , θˆ ]{x N (t) − Xˆ N }
+ f θ [v(t), Xˆ N , Xˆ L , θˆ ]{θ (t) − θˆ }.
(13)
According to the proposed approximation, the current model (13) is associated with just two global state equations defining the global state variables x N (t) and x L (t) d x L (t) L = h x L (t) − X Q S [v(t)], τ S , X TH dt L [v(t)] − x L (t) XQ S τS N d x N (t) N = h x (t) − X Q S [v(t)], τ S , X TH dt
(14) (15)
N where h is defined as in Fig. 1, τ S = min{τ Sk }, while X Q S k
L are global QS functions. Given the results in (7) and and X Q S (9), the solutions of (14) and (15) for a periodic steady-state regime above the upper cut-off of slow dispersive phenomena are, respectively, L (16) x L (t) X L = mean X Q S [v(t)] t ∈Tv
and
N x N (t) X N = max X Q S [v(t)] − X TH . t ∈Tv
(17)
IV. E MPIRICAL I DENTIFICATION In order to identify the proposed LF HEMT model in (13), six algebraic functions need to be extracted over the gate and drain voltage planes v(t), namely, the QS lag funcN L tions X Q S and X Q S , respectively, describing the dynamically ˆ nonlinear and linear traps, the reference I V characteristic F, and three sensitivity functions f xL , f xN , and f θ . The DP technique [33] provides a framework for a straightforward identification of these functions as described in the following Sections IV-A–IV-F. Gate and drain charge functions Q G and Q D are instead identified with the method in [35]. A. Double-Pulse Characterization Technique The method consists in generating, from a given quiescent point, a low-duty-cycle (e.g., ∼ 1 %) periodic pattern of two consecutive voltage pulses concurrently applied at both gate
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIBIINO et al.: GaN HEMT GLOBAL LARGE-SIGNAL MODEL INCLUDING CHARGE TRAPPING FOR MULTIBIAS OPERATION
5
Fig. 6. Reference DPIV charactersitic Fˆ obtained by pulsing from the ˆ Q = ( VˆG Q , Vˆ D Q ) = (0, 5) V and applying reference quiescent point V prepulses vˆ pp = (vˆpp,G , vˆpp,D ) = (−8, 60) V for each pulsed drain current (i D, p ) acquired point (θˆB = 40 °C).
and at a stable baseplate temperature θ B , one is able to obtain an isodynamic I V characteristic. Any generic point of such double-pulsed I V characteristic (DPIV) will be indicated as i p,D [vp ]|{VQ ,vpp ,θ B } . Fig. 5.
Waveform diagram in the presence of a DP excitation.
and drain ports of the DUT, where the first pulse of the patterns is referred to as the prepulse (indicated with the pp subscript). A waveform diagram in the presence of a DP excitation is depicted in Fig. 5. As mentioned in Section I, the amount of trapped charges increases when decreasing the gate voltage and increasing the drain voltage. Therefore, if the combination of a large negative voltage prepulse (v pp,G ) applied at the gate and a large positive voltage prepulse (v pp,D ) applied at the drain involves a global trap state deviation that is large enough N [v(t)]−x N (t) ≥ X to satisfy X Q TH , then the fast dynamically S nonlinear traps will be triggered. As the capture transients occur much faster that the prepulse duration, x N (t) will be nearly instantaneously set to the QS value reached for prepulse peaks vpp = (v pp,G , v pp,D ). The second pulse of the patterns allows sampling the current (i p,D ) at the actual measurement point vp = (v p,G , v p,D ) in a voltage domain defined by v p,G ≥ v pp,G and v p,D ≤ v pp,D [33]. If the DP pattern width τDP is much shorter than the charge release time constants, and considering that the peak values (i.e., the prepulses vpp ) of the DP voltage waveforms determine the maximum amount N ), then the measured of trapped charges (i.e., the peak of X Q S pulsed current i p,D will be acquired at the following fixed trap state: N x N (t) X N = max X Q S [v(t)] − X TH t ∈Tv
N XQ S [vpp ] − X TH .
(18)
Moreover, since the particular double-pulsed excitation has a very low duty cycle, the current acquisitions take place at a fixed x L (t) L L (19) x L (t) X L = mean X Q S [v(t)] X Q S [VQ ] t ∈Tv
set by the quiescent voltages. Finally, since τDP is also shorter than the typical thermal time constants, the DP excitation does not modify the thermal conditions, providing isothermal acquisitions. Thus, by sweeping vp while keeping the same VQ , vpp,
(20)
Indeed, the DP technique is based on demanding measurement specifications which should allow applying a high voltage (up to the maximum operating values) yet short pulses to the DUT, while providing an accurate control on the voltage peaks reached. In this paper, these specifications are met by means of the pulsed setup of [28], extracting the model of a 8 x 125 μm2 (gate length: 0.25 μm) GaN-on-SiC HEMT by UMS. B. Reference DPIV According to the analysis of Section IV-A, it should be clear that the reference characteristic Fˆ at fixed thermal and trapping states can be acquired as a DPIV . ˆ p] = i p,D [vp ]|{Vˆ Q ,ˆvpp ,θˆB } (21) F[v where the symbol ∧ indicates the chosen reference values, and vp must be swept on a fine grid of n v = n v G ×n v D points to obtain an accurate I V characteristic. Since the DP is effective in preconditioning the trap state x N only for v G > v G, pp and v D < v G, pp , an isodynamic DPIV covering the plane of all applicable voltage values could only be acquired if vˆpp,G = min{v G } and vˆpp,D = max{v D }, i.e., at the point of maximum trapping, where v G and v D are the voltages applied in all large-signal regimes accounted for. On the other hand, the quiescent voltages inhibit the preconditioning for any positive gate pulse v G > VG Q and negative drain pulse v D < V D Q , so it makes sense to set VˆG Q = max{v G } and Vˆ D Q = min{v D }, i.e., at the point of minimum trapping. For this paper, we have acquired the reference DPIV (shown in Fig. 6) by choosing vˆpp,G = −8 V and vˆpp,D = 60 V as reference prepulses, VˆG Q = 0 V and Vˆ D Q = 5 V as reference quiescent points, while the reference baseplate temperature was θˆB = 40 °C. Under these characterization conditions, the reference values Xˆ N , Xˆ L , and θˆ in (13) are implicitly set. It is worth observing that since the actual value of each trap state is a solution of a differential state equation, it is known up to an additive arbitrary constant. However, only the variations of x N (t) and x L (t) with respect to their reference values
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
(respectively, Xˆ N and Xˆ L in the actual case) are relevant for describing the corresponding drain current deviations. As far as the reference temperature θˆ is concerned, this can be determined from θˆB by adding the self-heating contribution. N C. Quasi-Static Lag Function X Q S
A suitable empirical way to characterize the QS lag funcN and X L consists in quantifying how much the tions X Q S QS drain current is influenced by each of the two trapping mechN L anisms. In fact, while the absolute values of X Q S and X Q S are not directly measurable, here we implement a sensing procedure in order to map a drain current variation into a trap state variation. It consists of the following three steps. 1) Selection of a suitable drain current sensing point s , v s ) on the voltage plane, provided that vs = (v G D s i D > 0 in vs . This sensing point should be chosen among the points of the I V characteristic that are particularly affected by traps, e.g., the ones where the trap-induced current drop is particularly visible, such as near the knee voltage. At the same time, one must bear in mind that, according to the DP preconditioning mechanism discussed in Section IV-A, vs sets the maximum v G N and the minimum v D for which the QS trap state X Q S can be characterized. In this paper, we have chosen ˆ Q = (0, 5) V. vs = V 2) Definition of the trap state deviation from the drain current deviation sensed in vs . Here, we interpret the trap state deviation in terms of an equivalent gate voltage, dividing the drain current deviations by the small signal transconductance gm obtained through numerical differentiation of the measured reference characteristic . s = Fˆ in vs , resulting in gm gm [vs ] 90 mA/V. 3) Implementation of an empirical technique aimed at provoking QS trap state changes, to be characterized on the basis of drain current deviations. By leveraging on the N DP technique, X Q S is set by the prepulses vpp , whereas L X Q S is set, independently, by the quiescent voltages VQ . Let us assume a periodic steady-state regime imposed by a low duty cycle DP excitation applied at both ports. As from (18), if the combination of a negative v pp,G and positive v pp,D is such as to activate fast charge trapping N [v ] − x N (t) ≥ X N (i.e., X Q TH ), the QS state value X Q S S pp assumed in any particular regime can be defined as a deviation from the reference state Xˆ N considered in (13)
N ˆ N X N [vpp] − X TH − X N [vˆ pp ] − X TH XQ S [vpp ] − X QS QS
1 . ˆ s ] (22) = − s i p,D [vs ]|{Vˆ Q ,vpp ,θˆB } − F[v gm where the notation for the DPIV acquisition at the sensing point vs is the one adopted in (20). As from (22), once the ˆ s ] is known, the characterization of a reference current F[v state deviation is empirically obtained by a single DP current acquisition at the chosen sensing point vs , provided that the quiescent point and the baseplate temperature are the same ˆ In this way, equivalent ones used to acquire the reference F. L [V ˆ Q ] = Xˆ L . Then, thermal conditions are guaranteed, and X Q S N N by sweeping vpp , X Q S − Xˆ can be characterized over the
Fig. 7. Dynamically nonlinear QS lag function. Black dots: acquired samples used for surface approximation by means of [36].
Fig. 8. Dynamically nonlinear QS lag function plotted versus (a) v G and (b) v D .
gate–drain voltage plane. In Figs. 7 and 8, we show the charN N ˆN acterization of X Q S − X for n X = n v pp,G ×n v pp,D = 5×5 = 25 acquisitions (black dots), with prepulses v pp,G ∈ [−8, −2] V and v pp,D ∈ [20, 60] V. In all cases considered, clear indication of dynamically nonlinear trap state variations is obtained. The surface is then extended to fully cover the 2-D voltage plane by means of the function approximator in [36]. As could be expected, for (v G , v D ) = (v pp,G , v pp,D ) = (−8, 60) V, the trap state deviation is maximum and null, since this point corresponds to the prepulse condition adopted in Section IV-B as the reference Xˆ N (maximum trapping). All the other values are negative, and show a regular positive slope for increasing v D and decreasing v G describing the progressive trapping toward the maximum, and also revealing a significant dependence with respect to both gate and drain voltages. Actually, the function in Figs. 7 and 8 constitutes a 2-D nonlinear lag function describing the QS behavior of the dynamically nonlinear trap state.2 As far as the activation threshold is concerned, one observes from (18) and (22) that X TH is irrelevant, since only the state deviation from the reference (and not its absolute value) is necessary for identifying the model in (13). On the other hand, for a signal regime where the activation condition is never reached, the dynamically nonlinear state will be fixed N [V ]. Therefore, X at X N = X Q TH must be known to S Q ensure consistency between large- and small-signal operations. According to the procedure in Appendix B, X TH 0.8 V has been extracted for the considered DUT. Finally, it is interesting to evaluate the time-domain waveN [v(t)] − X ˆN − form of the state deviation (X Q TH ) − ( X S N N X TH ) = X Q S [v(t)] − Xˆ corresponding to a given nonpulsed 2 With respect to a previous definition [18] based on the dc current drop [37] taking place under pulsed operation, this identification method allows measuring trap-assisted effects in a consistent way also in pinch-off, a key requirement for global modeling.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIBIINO et al.: GaN HEMT GLOBAL LARGE-SIGNAL MODEL INCLUDING CHARGE TRAPPING FOR MULTIBIAS OPERATION
7
Fig. 11. Dynamically linear QS lag function. White dots: acquired samples used for surface approximation by means of [36].
N [v(t)] − Xˆ N Fig. 9. (a) Instantaneous evaluation of the QS trap state X Q S for a given time-domain locus v(t) for a CW excitation. (b) Time-domain locus v(t) in the v G –v D plane. (c) Resulting QS prediction in time domain.
Fig. 12. (b) v D .
Dynamically linear QS lag function plotted versus (a) v G and
L −X ˆ L , and its value is defined by XQ S L ˆL XQ S −X L L ˆ XQ S [VQ ] − X Q S [V Q ]
1 . ˆ s ] − f θ [vs ]{θ0 − θˆ } (23) = − s i p,D [vs ]|{VQ ,ˆvpp ,θˆB } − F[v gm
Fig. 10. Time-domain voltage waveforms defining the specific voltage locus considered in Figs. 9 and 13. (a) v G (t) versus time. (b) v D (t) versus time.
periodic steady-state regime, and the maximum deviation N [v(t)] − X ˆ N } reached under these conditions. For max{X Q S example, let us consider the particular v(t) locus as shown in Fig. 9(b). This corresponds to a specific path lying on the N [v(t)] − X ˆ N , as depicted in Fig. 9(a), 2-D surface of X Q S generating the time-domain waveform shown in Fig. 9(c). By comparing this curve with the time-domain waveforms of v G (t) and v D (t) in Fig. 10, one can observe that, for this specific regime, neither the negative gate voltage peak (at tG ) nor the positive drain voltage peak (at t D ) coincide N ˆN with the actual maximum value of the X Q S (t)− X waveform (reached in t X N ). This suggests that, in general, the maximum amount of trapped charges may not be set by the positive peak of v D alone (or by the negative peak of v G alone), but by the combination of the two dynamic voltages, owing to the nonlinear behavior of trap states. L D. Quasi-Static Lag Function X Q S L can be obtained by exploitThe characterization of X Q S ing (19), considering that the state is determined by the quiescent voltages VQ when the DUT is subject to a low duty L under a DP cycle DP excitation. In fact, the QS state X Q S periodic steady-state regime is characterized as a deviation
where θ0 is the average device temperature. Unlike the idenN −X ˆ N , here the procedure requires obtaining tification of X Q S a set of DP measurements all preconditioned by the same reference prepulses vˆ pp , whereas the quiescent point is swept. N [v ˆN Under these conditions, we have X Q S ˆ pp ] = X , so that the drain current variation will be only due to the variations L of X Q S and of the thermal state. In fact, in the case of on-channel quiescent conditions, different VQ correspond to L −X ˆ L function has been different thermal conditions. The X Q S measured at n LX = n v G Q × n v D Q = 5 × 5 = 25 quiescent points to cover a domain with v G ∈ [−8, −1] V and v D ∈ [5, 30] V, where 30 V is the actual dc limit for the bias tee used in this paper. The result is shown in Figs. 11 and 12, where the extrapolation across the necessary voltages is again performed by the surface approximation method in [36]. Since the variations of the dynamically linear trap state are referenced to ˆ Q = (0, 5) V, the function is null at this point. V While the dynamics involved with x L is linear, the depenL −X ˆ L versus v G and v D is, in general, nonlinear. dence of X Q S In particular, it increases for increasing v D (with a steep slope in pinch-off), while the function barely changes for the ON -state voltages. This behavior is coherent with the local single-bias modeling of [33] and the characterization in [28]: if the large-signal regime in class AB does not extensively push into the pinch-off region, the dynamically linear trap state L [v(t)]} − X ˆ L are negligible. variations X L − Xˆ L mean{X Q S Nevertheless, they cannot be ignored for multibias or deep subthreshold operation. The self-biasing mechanism induced
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
different X N states, i.e., measured with prepulses vpp1 , . . . , ˆ Q and vpp y , . . . , vpp Y , while for all acquisitions, VQ = V θ B = θˆB . For each point vp in the fine grid, one obtains the following Y -dimensional column vector: ⎞ ⎛ ˆ p] i p,D [vp ]|{Vˆ Q ,vpp ,θˆB } − F[v 1 ⎟ ⎜ .. ⎟ ⎜ . ⎟ ⎜ ⎟ ⎜ . ⎜ i [v ]| N ˆ − F[v ] p ⎟ (25) ip,D [vp ] = ⎜ p,D p {Vˆ Q ,vppy ,θˆB } ⎟ ⎟ ⎜ .. ⎟ ⎜ . ⎠ ⎝ ˆ p] i p,D [vp ]|{Vˆ Q ,vpp ,θˆB } − F[v Y
L [v(t)] − Xˆ L Fig. 13. (a) Instantaneous evaluation of the QS trap state X Q S for a given time-domain locus v(t) for a CW excitation. (b) Time-domain locus v(t) in the v G –v D plane. (c) Resulting QS prediction in time domain.
and the associated state variations with respect to Xˆ N ⎛ N ⎞ X Q S [vpp1 ] − Xˆ N ⎜ ⎟ .. ⎜ ⎟ . ⎜ ⎟ ⎟ N . ⎜ N N X = ⎜ X Q S [vppy ] − Xˆ ⎟ ⎜ ⎟ .. ⎜ ⎟ ⎝ ⎠ . X N [vpp ] − Xˆ N QS
f xN
so that problem
Y
is obtained by solving the following least-squares . N N N ip,D [vp ] = − f x [vp ]X .
Fig. 14. (a) Sensitivity function f xN for the dynamically nonlinear state x N . (b) Sensitivity function f xL for the dynamically linear state x L .
by the nonlinear dependence under large-signal operation is clarified by analyzing Fig. 13. In fact, the locus traced by the time-domain voltage waveforms on the 2-D surface of L (t)− X ˆ waveform, Fig. 13(a) surface results in a distorted X Q S whose mean value depends on the actual traced path, as shown in Fig. 13(c). E. Self-Heating Under periodic steady-state operation above thermal cut-off, the temperature can be expressed by the following thermal state equation: θ (t) θ0 = θ B + Rθ P0
(24)
where θ0 is the average temperature, P0 is the mean value of the instantaneous dissipated power p(t) = v D (t)i D (t), and Rθ is the device thermal resistance, which, in this paper, is characterized by means of the method in [38]. For this device, Rθ 13 °C/W. Therefore, the reference temperature is given as θˆ = θˆB + Rθ Pˆ0 . F. Sensitivity Functions As far as f xN is concerned, one should collect a set of DPIV characteristics (measured over the full voltage domain over a fine grid of n v points) preconditioned at y = 1 . . . Y
(26)
(27)
The thermal sensitivity function f θ can be characterized by acquiring a set of DPIV characteristics (n v points each) at different θ B , yet all conditioned to the same VQ and vpp . For example, one can measure W different DPIV curves by ˆ Q , vpp = vˆ pp, and sweeping W baseplate applying VQ = V temperatures θ B1 , . . . , θ Bw , . . . , θ BW , yet assuming that the trap states behavior is only barely influenced [34]. Then, extract f θ by means of a least-squares procedure, similar to (27). The sensitivity function f xL is identified from a set of DPIV characteristics (n v points each) all sharing the same prepulse conditions vpp = vˆ pp and basplate temperature θ B = θˆB , but pulsed from Z different quiescent voltage conditions VQ 1 , . . . , VQ z , . . . , VQ Z . Therefore, another leastsquares problem can be solved for obtaining f xL L L L ip,D [vp ] = − f x [vp ]X + f θ [vp ]θ
(28)
where XL is the vector of Z QS state deviations from Xˆ L , L ip,D is the vector of the Z corresponding current deviations ˆ and θ is the vector of differences between the from F, average temperature θ0z (resulting from each zth biasing condition) and the reference temperature θˆ . To sum up, the identification of the conduction current involves n xN + n xL + n v × (W + Y + Z + 1) single current N [v], n L acquisitions, where n xN is for the identification of X Q x S L for the identification of X Q S [v], n v × W for f θ , n v × Y for ˆ Yet, it should be noted f xN , n v × Z for f xL , and n v for F. that one could reduce W , Y , and Z to a bare minimum of W = 1, Y = 1, and Z = 1, so that the total amount of necessary measurements for identification of the conduction current model (13) consists of n xN + n xL + n v × 4 suitably chosen DPIV single acquisitions.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIBIINO et al.: GaN HEMT GLOBAL LARGE-SIGNAL MODEL INCLUDING CHARGE TRAPPING FOR MULTIBIAS OPERATION
9
Fig. 17. (a) Block diagram and (b) photograph of the NVNA-based load–pull setup.
Fig. 15.
Model implementation in ADS.
device (SDD), as shown in Fig. 15. The drain current equation in (13) is made up of four 2-D functions of the applied voltages ˆ f xL , f xN , and fθ ), which are simply implemented by v(t) ( F, four 2-D LUTs with data access components. The size of each LUT directly corresponds to the n v = n v G × n v D = 9 × 25 measured points, where v G ∈ [−4, 0] V with step 0.5 V, and L − X ˆL v D ∈ [0, 60] V with step 2.5 V. The quantities X Q S N ˆ N are originated by two additional 2-D LUTs and X Q S − X also indexed by v(t), whose output is then applied to the input of the low-pass circuit in Fig. 2 and the peak detector in Fig. 3, respectively, in order to obtain the actual values of x L (t) − Xˆ L X L − Xˆ L and x N (t) − Xˆ N X N − Xˆ N in (13) L −X ˆL for a regime above cut-off. The size of each LUT for X Q S N N and X Q S − Xˆ corresponds to a voltage grid of n v,x = n v G ,x × n v D ,x = 17 × 25 points, where n v G ,x ∈ [−8, 0] V with step 0.5 V, and n v G ,x ∈ [0, 60] V with step 2.5 V, obtained by resampling the approximated 2-D surfaces of Figs. 7 and 11. The temperature is obtained by means of an analytic low-pass circuit, while the two charge functions Q G and Q D are also implemented by two 2-D LUTs, which are defined over the same voltage domain and have the same size as the LUTs of the trap state functions. The charge functions are derived within the SDD component to obtain the gate and drain displacement currents. Parasitics and diodes are finally included with a simple lumped-element network. B. LF Performance Prediction
Fig. 16. CW validation at f = 4 MHz with Z L = 50 . (a) and (b) Class AB. (c) and (d) Class B. (e) and (f) Class C. (a), (c), and (e) V D Q = 20 V; (b), (d), and (f) V D Q = 30 V. Blue circles: measurements. Solid red lines: model prediction.
V. E XPERIMENTAL VALIDATION A. CAD Model Implementation The proposed model is implemented in Keysight Advanced Design System (ADS) by means of a symbolically defined
Preliminary LF experimental tests were focused on the conduction current prediction as modeled by (13). To this aim, the scope-based setup in [28] was used, where the pulsed excitations are substituted with a CW excitation at f = 4 MHz. This frequency ensures the above cut-off operation for both the thermal and slow trapping effects, yet it is low enough to make displacement currents negligible. The DUT was biased in class AB (I D Q = 180 mA), class B, and class C, and at two different
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 18. Large-signal model validation for operation in classes AB, B, and C under a CW excitation at f = 5.5 GHz. Blue circles: measurement. Solid red lines: model. Dashed green lines: model w/o charge trapping description.
drain voltages (V D Q = 20 and 30 V). These testing conditions are all fundamentally distinct from the identification ones, ˆ especially considering that the DP reference characteristic F, around which the linearization is performed, is pulsed from a very different bias point. The results, for a termination of 50 , are reported in Fig. 16. The model shows robust performance prediction capabilities in terms of gain and average drain current across the different operating classes. In particular, the model is able to predict the typical current drop taking place in class AB, as can be seen from Fig. 16(a). C. RF Performance Prediction The RF capabilities of the model were characterized by means of the setup shown in Fig. 17, which consists of a nonlinear vector network analyzer (NVNA) setup based on a Keysight PNA-X, enhanced with additional Maury MW passive tuners to allow for load–pull. CW validation was performed at f = 5.5 GHz for the nominal quiescent point in class AB (I D Q = 80 mA). Beyond the (2) 50- case, namely, Z L ,α (with Z (1) L ,α = 54 + j 14 , Z L ,α = 53 + j 18 , and Z (3) L ,α = 51 + j 12 for the first three harmonics), we searched for the optimum load Z L ,β for max(1) imum output power, which resulted in Z L ,β = 19 + j 38 , (3) Z (2) L ,β = 89 + j 89 , and Z L ,β = 28 + j 46 . The model predictions in terms of RF output power and power-added efficiency (PAE) are compared with measurements in Fig. 18 for classes AB, B, and C at V D Q = 30 V for both Z L ,α and Z L ,β loads. In Fig. 18, we also show the performance prediction obtained with a simplified model based on a standard P I V characteristic pulsed from VG Q = 0 V and V D Q = 0 V, where the subnetworks for trap dynamics are turned off. The model including trap description delivers consistent prediction across all classes. On the contrary, the model ignoring the effects of traps typically causes overestimating the RF output power of several dB and PAE of a few percent points. The trap model performance is even more clearly confirmed by the waveform predictions reported in Fig. 19 (Z L ,α ) and Fig. 20 (Z L ,β ) also acquired in classes AB, B, and C, validating both the
Fig. 19. CW waveform validation at f = 5.5 GHz with Z L = Z L ,α . (a) and (b) Class AB, RF input power: 5, 12, 18, 21, and 25 dBm. (c) and (d) Class B, RF input power: 5, 12, 18, 21, and 25 dBm. (e) and (f): Class C, RF input power: 16, 19, 22, 23, and 24 dBm. Circles: measurements. Solid lines: model prediction.
conduction current modeling as well as the charge function description. In particular, the self-biasing (for the class AB) and the peak currents reached are coherently predicted in all classes. On the other hand, the simplified model not accounting for traps predicts an RF current peak that is considerably higher than the measured one, as shown in Fig.21. Finally, a two-tone test is reported in Fig. 22, showing a good prediction of the IM3 in classes AB, B, and C for
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIBIINO et al.: GaN HEMT GLOBAL LARGE-SIGNAL MODEL INCLUDING CHARGE TRAPPING FOR MULTIBIAS OPERATION
Fig. 20. CW waveform validation at f = 5.5 GHz with Z L = Z L ,β . (a) and (b) Class AB, RF input power: 5, 12, 18, 21, and 25 dBm. (c) and (d) Class B, RF input power: 5, 12, 18, 21, and 25 dBm. (e) and (f) Class C, RF input power: 11, 18, 21, 23, and 25 dBm. Circles: measurements. Solid lines: model prediction.
11
signal excitation. This can be achieved by simply plotting the output of the circuits shown in Figs. 2 and 3 for the largesignal operation considered. In this way, the charge trapping level activated by the specific periodic steady-state regime can be observed, gaining insights on the intrinsic behavior of the device under application such as RF operation. In Fig. 23, we show both states versus RF input power under class AB operation for the two loads Z α,L and Z β,L considered. First, as could be expected from the surface in Fig. 7, it can be noted that X N − Xˆ N acquires negative values since the reference Xˆ N corresponds to the maximum trapping. Another, more interesting aspect concerns the fact that also X L − Xˆ L changes for different RF input regimes, due to the nonlinear L dependence of the QS lag function X Q S on the applied voltages. In particular, the state decreases for input powers up to 15 dBm, and then increases when the loadline goes dynamically into pinch-off operation. For both states, the termination with the optimum load Z β,L entails higher levels of trapping as the optimum load allows for larger swings of the time-domain voltages, triggering the capture of more charge. It is worth noting that, while X N shows a maximum deviation of 0.8 V from Xˆ N , X L deviates from Xˆ L of up to 0.15 V, suggesting that the dynamically nonlinear trap state has a stronger impact on the drain current. Finally, the evolution of trap states was also evaluated for classes B and C, and for V D Q = 20 and 30 V, as shown in Fig. 24. For both X L and X N , the more the DUT is pinched off, the larger the impact of traps. As a consequence, class C involves higher levels of trapped charges even for small excitation signals, whereas class AB shows the steepest increase proportional to the boost of the RF amplitude.
VI. C ONCLUSION
Fig. 21. Comparison between models with (solid red lines) and without (dashed green lines) charge trapping description versus measurements (blue circles) for a CW excitation at f = 5.5 GHz and RF input power: 25 dBm.
a frequency spacing of f = 20 MHz around the central frequency f = 5.5 GHz. D. Evaluation of Trap States Under RF Operation An interesting feature of the model consists in monitoring the values of the states X L and X N in the presence of the large-
A novel empirical GaN HEMT global model has been presented in this paper. An exhaustive extraction procedure enabled by the DP measurement technique, despite making a very limited number of measurements, allows for the empirical description of two types of global charge trapping states. Model validation, performed at both LF and RF, has shown good accuracy for CW and two-tone excitations, providing excellent prediction consistency across AB, B, and C classes in terms of RF output power, efficiency, and IM3. The direct evaluation of trap states behavior under signal regimes of practical interest has shown that traps featuring linear dynamics must be accounted for when operation also involves the pinch-off region, either when the device is dynamically switched OFF under large-signal excitations or when it is biased in classes B and C. As a consequence, associating the trap effects only to the peaks of the applied voltages may not be sufficient for global modeling. The proposed approach could also be extended to account for the geometry of the device by introducing scaling parameters in the most significant nonlinear dependencies, e.g., in the reference DPIV function Fˆ and related sensitivities. Nevertheless, this requires the characterization of devices of different sizes, and it will be addressed in the future work.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 22. IM3 for operation in classes AB, B, and C under a CW excitation at f = 5.5 GHz, and frequency spacing f = 20 MHz (for the Z β,L load). Blue crosses: measurements. Solid red lines (with traps) and green dashed lines (without traps): model predictions.
A PPENDIX A S OLUTION OF THE S TATE E QUATION IN THE P RESENCE OF N ONLINEAR DYNAMICS When considering the dynamically nonlinear behavior of x kN (t) discussed in Section II-C (Fig. 3), (4) becomes 1 Tv
δTv
N [v(t)] − x N (t) − X XQ TH j Sj j
0
+
dt τF j Tv X N [v(t)] − x N (t) QS j j 1
Tv
δTv
τS j
dt 0
then, by multiplying both terms for τ Fi , we obtain δTv N
1 X Q S j [v(t)] − x Nj (t) − X TH j dt Tv 0 Tv
1 τF j N N + XQ S j [v(t)] − x j (t) dt 0 Tv τ S j δTv
(29) Fig. 23. Trap states evolution under a CW excitation at f = 5.5 GHz for class AB operation (I D Q = 80 mA and V D Q = 30 V) and two different loads Z α,L 50 and the maximum output power load Z β,L . (a) Dynamically nonlinear trap state. (b) Dynamically linear trap state.
(30)
since τ F j τ S j , (30) becomes δTv N
1 X Q S j [v(t)] − x Nj (t) − X TH j dt 0 (31) Tv 0 where the argument of the integral is a nonnegative quantity. As a consequence, the integral in (31) is null only if N N max X Q (32) S j [v(t)] − x j (t) − X TH j 0 t ∈Tv
so that
N N x Nj (t) max X Q S j [v(t)] − X TH j = X j . t ∈Tv
(33)
A PPENDIX B C HARACTERIZATION OF X TH ˆ Q and the application of a periodic Let us consider VQ = V DP regime as in Section IV-C, with the same choice for the ˆ Q . Under these conditions, the doublesensing point vs = V pulsed drain current i p,D can be measured for different prepulse conditions vpp , suitably chosen for investigating the activation region for x N . Although different combinations of prepulse gate and drain peaks may induce trap activation, the empirical evidence [28], [34] shows that v G and v D peaks act similarly3 in the activation of x N . 3 In a typical PA operating regime, the gate voltage v has a limited range G (a few volts), while v D is subject to larger swings (tens of volts). Therefore, current collapse and other trap-related phenomena are particularly subject to the dynamic evolution of v D .
Fig. 24. Trap states evolution under a CW excitation at f = 5.5 GHz for two different bias points (V D Q = 20 and 30 V) in class AB, B, and C operations with Z L = Z β,L for maximum output power. (a) and (c) Dynamically nonlinear trap state. (b) and (d) Dynamically linear trap state.
The simplified diagram in Fig. 25(a) shows the doubleˆ Q || = pulsed drain current i p,D as a function of ||vpp − V (v pp,G − VˆG Q )2 + (v pp,D − Vˆ D Q )2 , defined as the difference between the prepulse voltage peaks and their respective quiescent voltages. Two particular current values are high-
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIBIINO et al.: GaN HEMT GLOBAL LARGE-SIGNAL MODEL INCLUDING CHARGE TRAPPING FOR MULTIBIAS OPERATION
13
which is represented by the blue triangle in Fig. 25(b). Finally, (38) becomes the following empirical expression: N
ˆ N − X N [V ˆ Q ] − Xˆ N X TH = X Q S [vpp,TH ] − X QS 1 − s (i A − i B ) (41) gm resulting in X TH 0.8 V for the considered DUT. Fig. 25. (a) Simplified representation of i p,D and its dependence on pulsed excitations, where VTH is the minimum pulsed amplitude for the activation of x N . Solid line: current drop rate derived from measurements. Dashed line: N − Xˆ N lag function. Black extrapolation. (b) Corresponding points on the X Q S dots: measured samples.
lighted ˆ Q || ≤ VTH i A = i p,D [vs ]|{Vˆ Q ,vpp ,θˆB } , ||vpp − V iB =
s i ext ˆ Q ,vpp ,θˆB } , p,D [v ]|{V
ˆ Q || = 0 ||vpp − V
x (t) X N
(35)
(36)
N
N = mean X Q S [v(t)] ˆ Q] X N [V QS
(37)
where the expression in (36) results directly from (18). Conversely, (37) is obtained by solving4 the state equation (4) N [v(t)] − X N ≤ X for X Q TH . By equating (36) and (37), S we obtain N N ˆ X TH = X Q S [vpp,TH ] − X Q S [V Q ]
N
N ˆ ˆ N . (38) = X Q S [vpp,TH ] − Xˆ N − X Q S [V Q ] − X
According to (22), the first term in (38) corresponds to the black dot in Fig. 25(b) 1 N ˆN ˆ s XQ S [vpp,TH ] − X = − s (i A − F[v ]) gm
(39)
given that i A in (34) is the pulsed current measured at ˆ Q || = VTH . The second term is obtained from ||vpp,TH − V the linearly extrapolated value i B in (35) 1 N ˆ ˆN ˆ s XQ S [V Q ] − X − s (i B − F[v ]) gm
The authors would like to thank A. Lena and T. S. Nielsen from Keysight Technologies for the access to equipment. The authors would also like to thank D. Floriot, V. Di Giacomo Brunel, and C. Chang from UMS for the supply of GaN devices.
(34)
where the same notation as in (20) is adopted, and i ext p,D refers to the extrapolated data. The symbol VTH represents a minimum voltage amplitude for the fast activation of x N . The term i A in (34) indicates the pulsed drain current measured ˆ Q || ≤ VTH , which is constant up to the activation for ||vpp − V level. The term i B in (35) is a linearly extrapolated value that corresponds to the current that would be measured for ˆ Q if the threshold X TH was null. vpp = V Now, let us consider a specific DP operation with quiescent ˆ Q and prepulse values vpp,TH satisfying ||vpp,TH − voltages V ˆ V Q || = VTH . In such a regime, the two following conditions must simultaneously hold: N x N (t) X N = max X Q S [v(t)] − X TH N XQ S [vpp,TH ] − X TH
ACKNOWLEDGMENT
(40)
4 The solution can be easily derived with similar mathematical steps as done to obtain (7) in Section II-B.
R EFERENCES [1] U. K. Mishra, L. Shen, T. E. Kazior, and Y.-F. Wu, “GaN-based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, Feb. 2008. [2] R. Vetury, N. Q. Zhang, S. Keller, and U. K. Mishra, “The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs,” IEEE Trans. Electron Devices, vol. 48, no. 3, pp. 560–566, Mar. 2001. [3] M. Meneghini et al., “Buffer traps in Fe-doped AlGaN/GaN HEMTs: Investigation of the physical properties based on pulsed and transient measurements,” IEEE Trans. Electron Devices, vol. 61, no. 12, pp. 4070–4077, Dec. 2014. [4] O. Axelsson et al., “Application relevant evaluation of trapping effects in AlGaN/GaN HEMTs with Fe-doped buffer,” IEEE Trans. Electron Devices, vol. 63, no. 1, pp. 326–332, Jan. 2016. [5] C. Charbonniaud, S. De Meyer, R. Quéré, and J. Teyssier, “Electrothermal and trapping effects characterization of AlGaN/GaN HEMTs,” in Proc. Gallium Arsenide Appl. Symp., Oct. 2003, pp. 201–204. [6] S. A. Albahrani, A. E. Parker, and M. Heimlich, “Identifying a doubleenergy-level trap center in a GaN HEMT by performing three-stage pulse measurements,” IEEE Trans. Electron Devices, vol. 63, no. 9, pp. 3693–3699, Sep. 2016. [7] A. Benvegnù et al., “On-wafer single-pulse thermal load–pull RF characterization of trapping phenomena in AlGaN/GaN HEMTs,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 767–775, Mar. 2016. [8] S. Vitanov et al., “Physics-based modeling of GaN HEMTs,” IEEE Trans. Electron Devices, vol. 59, no. 3, pp. 685–693, Feb. 2012. [9] J.-W. Lee and K. J. Webb, “A temperature-dependent nonlinear analytic model for AlGaN-GaN HEMTs on SiC,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 2–9, Jan. 2004. [10] P. M. Cabral, J. C. Pedro, and N. B. Carvalho, “Nonlinear device model of microwave power GaN HEMTs for high power-amplifier design,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 11, pp. 2585–2592, Nov. 2004. [11] I. Angelov, V. Desmaris, K. Dynefors, P. A. Nilsson, N. Rorsman, and H. Zirath, “On the large-signal modelling of AlGaN/GaN HEMTs and SiC MESFETs,” in Proc. Eur. Gallium Arsenide Other Semicond. Appl. Symp., Oct. 2005, pp. 309–312. [12] D. Schreurs et al., “ANN model for AlGaN/GaN HEMTs constructed from near-optimal-load large-signal measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 447–450. [13] J. Xu, R. Jones, S. A. Harris, T. Nielsen, and D. E. Root, “Dynamic FET model—DynaFET—For GaN transistors from NVNA active source injection measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [14] A.-D. Huang, Z. Zhong, W. Wu, and Y.-X. Guo, “An artificial neural network-based electrothermal model for GaN HEMTs with dynamic trapping effects consideration,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 8, pp. 2519–2528, Aug. 2016. [15] V. Camarchia, F. Cappelluti, M. Pirola, S. D. Guerrieri, and G. Ghione, “Self-consistent electrothermal modeling of class A, AB, and B power GaN HEMTs under modulated RF excitation,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 9, pp. 1824–1831, Sep. 2007.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
[16] P. Barmuta, F. Ferranti, G. P. Gibiino, A. Lewandowski, and D. M. M. P. Schreurs, “Compact behavioral models of nonlinear active devices using response surface methodology,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 1, pp. 56–64, Jan. 2015. [17] F. van Raay et al., “New low-frequency dispersion model for ALGaN/GaN HEMTs using integral transform and state description,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 154–167, Jan. 2013. [18] A. Santarelli et al., “GaN FET nonlinear modeling based on double pulse I/V characteristics,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3262–3273, Dec. 2014. [19] A. Raffo, G. Bosi, V. Vadalà, and G. Vannini, “Behavioral modeling of GaN FETs: A load-line approach,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 73–82, Jan. 2014. [20] A. Jarndal and G. Kompa, “Large-signal model for AlGaN/GaN HEMTs accurately predicts trapping and self-heating-induced dispersion and intermodulation distortion,” IEEE Trans. Electron Devices, vol. 54, no. 11, pp. 2830–2836, Nov. 2007. [21] O. Jardel et al., “An electrothermal model for AlGaN/GaN power HEMTs including trapping effects to improve large-signal simulation results on high VSWR,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2660–2669, Dec. 2007. [22] K. S. Yuk, G. R. Branner, and D. J. McQuate, “A wideband multiharmonic empirical large-signal model for high-power GaN HEMTs with self-heating and charge-trapping effects,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3322–3332, Dec. 2009. [23] J. B. King and T. J. Brazil, “Nonlinear electrothermal GaN HEMT model applied to high-efficiency power amplifier design,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 444–454, Jan. 2013. [24] L. C. Nunes, J. M. Gomes, P. M. Cabral, and J. C. Pedro, “A new nonlinear model extraction methodology for GaN HEMTs subject to trapping effects,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–4. [25] A. Prasad, M. Thorsell, H. Zirath, and C. Fager, “Accurate modeling of GaN HEMT RF behavior using an effective trapping potential,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 2, pp. 845–857, Feb. 2018. [26] J. Tarazi, J. G. Rathmell, A. E. Parker, and S. J. Mahon, “Extraction of a trapping model over an extended bias range for GaN and GaAs HEMTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 244–247. [27] D. Bisi et al., “Deep-level characterization in GaN HEMTs—Part I: Advantages and limitations of drain current transient measurements,” IEEE Trans. Electron Devices, vol. 60, no. 10, pp. 3166–3175, Oct. 2013. [28] A. Santarelli et al., “Multi-bias nonlinear characterization of GaN FET trapping effects through a multiple pulse time domain network analyzer,” in Proc. Eur. Microw. Int. Circuits Conf. (EuMIC), Sep. 2015, pp. 81–84. [29] G. P. Gibiino, R. Cignani, A. Santarelli, and F. Filicori, “Global modeling of GaN HEMT resistive current including charge trapping and self-heating for multi-bias multi-class PA performance prediction,” in Proc. Eur. Microw. Int. Circuits Conf. (EuMIC), Oct. 2017, pp. 204–207. [30] A. S. Roy and C. C. Enz, “Analytical modeling of large-signal cyclostationary low-frequency noise with arbitrary periodic input,” IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2537–2545, Sep. 2007. [31] I. Suh et al., “Additive phase noise measurements of AlGaN/GaN HEMTs using a large signal network analyzer and a tunable monochromatic light source,” in Proc. ARFTG Microw. Meas. Conf., Nov./Dec. 2009, pp. 1–5. [32] P. Roblin, Nonlinear RF Circuits and Nonlinear Vector Network Analyzers. Cambridge, U.K.: Cambridge Univ. Press, 2011, ch. 4. [33] A. Santarelli et al., “A double-pulse technique for the dynamic I/V characterization of GaN FETs,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 2, pp. 132–134, Feb. 2014. [34] G. P. Gibiino, R. Cignani, D. Niessen, D. Schreurs, A. Santarelli, and F. Filicori, “Thermal characterization of nonlinear charge trapping effects in GaN-FETs,” in Proc. Int. Workshop Integr. Nonlinear Microw. Millimetre-Wave Circuits (INMMiC), Apr. 2014, pp. 1–3. [35] D. Niessen et al., “Charge-controlled GaN FET modeling by displacement current integration from frequency-domain NVNA measurements,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4382–4393, Dec. 2016. [36] J. D’Errico, “Surface fitting using gridfit, MATLAB central file exchange,” MATLAB Softw., Tech. Rep., Nov. 2016. [Online]. Available: http://www.mathworks.com/matlabcentral/fileexchange/8998surface-fitting-using-gridfit
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[37] A. Santarelli et al., “Nonlinear charge trapping effects on pulsed I/V characteristics of GaN FETs,” in Proc. Eur. Microw. Conf., Oct. 2013, pp. 1375–1378. [38] C. Florian, A. Santarelli, R. Cignani, and F. Filicori, “Characterization of the nonlinear thermal resistance and pulsed thermal dynamic behavior of AlGaN–GaN HEMTs on SiC,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1879–1891, May 2013.
Gian Piero Gibiino (S’13–M’17) received the dual Ph.D. degree from the University of Bologna, Bologna, Italy, and KU Leuven, Leuven, Belgium, in 2016. Since 2012, he has been with the Department of Electrical, Electronic, and Information Engineering “Guglielmo Marconi,” University of Bologna, where he is currently a Post-Doctoral Research Fellow. In 2016, he was a Visiting Researcher with Keysight Technologies, Aalborg, Denmark. His current research interests include the characterization and modeling of microwave devices and systems, microwave measurements, and RF instrumentation.
Alberto Santarelli (M’96) received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree in electronics and computer science from the University of Bologna, Bologna, Italy, in 1991and 1996, respectively. From 1996 to 2001, he was a Research Assistant with the Research Center for Computer Science and Communication Systems, Italian National Research Council, Bologna. In 2001, he joined the Department of Electrical, Electronic and Information Engineering “Guglielmo Marconi,” University of Bologna, where he is currently an Associate Professor. During his academic career, he has been a Lecturer of applied electronics, high-frequency electronics, and power electronics. His current research interests include the nonlinear characterization and modeling of electron devices and the nonlinear microwave circuit design.
Fabio Filicori received the M.S. degree in electronic engineering from the University of Bologna, Bologna, Italy, in 1974. In 1974, he joined the Department of Electronics, Computer Science and Systems, University of Bologna, as a Research Assistant, and then became an Associate Professor of applied electronics. In 1990, he was a Full Professor of applied electronics with the University of Perugia, Perugia, Italy. In 1991, he joined the Faculty of Engineering, University of Ferrara, Ferrara, Italy, as a Full Professor, where he was responsible for the degree course in electronic engineering. In 1993, he joined the Faculty of Engineering, University of Bologna, as a Full Professor, where he is currently an Adjunct Professor involved in teaching and research activities after retiring in 2016. During his academic career, he has held courses on computer-aided circuit design, electron devices and circuits, and power electronics. His current research interests include computer-aided design techniques for nonlinear microwave circuits, electron device nonlinear modeling, sampling digital instrumentation, and power electronics. Mr. Filicori has been a member of the Space Technology Committee of the Italian Space Agency and national responsible of several research projects on electronics for the Italian National Research Council. He was Technical Program Committee Chairman of the European Microwave Integrated Circuit Conference in Rome, Italy, in 2009.
4698
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
15-Gb/s 50-cm Wireless Link Using a High-Power Compact III–V 84-GHz Transmitter Jue Wang , Abdullah Al-Khalidi, Liquan Wang, Member, IEEE, Razvan Morariu, Afesomeh Ofiare, and Edward Wasige
Abstract— This paper reports on a 15-Gb/s wireless link that employs a high-power resonant tunneling diode (RTD) oscillator as a transmitter (Tx). The fundamental carrier frequency is 84 GHz and the maximum output power is 2 mW without any power amplifier. The reported performance is over a 50-cm link, with simple amplitude shift keying modulation utilized. The 15-Gb/s data link shows correctable bit error rate (BER) of 4.1 × 10−3 , while the lower data rates of 10 and 5 Gb/s show a BER of 3.6 × 10−4 and 1.0 × 10−6 , respectively. These results demonstrate that the RTD Tx is a promising candidate for the next-generation low-cost, compact, ultrahigh data rates wireless communication systems. Index Terms— Amplitude shift keying (ASK), high-power transmitter (Tx), oscillator, resonant tunneling diode (RTD), wireless communication systems.
I. I NTRODUCTION ITH the development of modern multimedia technology, there is a great demand for ultrahigh speed wireless communication. The typical application scenarios include wireless local area networks, wireless personal area networks, kiosk downloading, wireless connection in data centers, chip-to-chip interconnects, wireless backhauling, nano cells, and so on. Based on the extrapolation of Edholm’s law and future demand analysis, the data rate in the near future is expected to reach about 100 Gb/s [1]–[3]. For current wireless communication systems operating in the low microwave range, a great challenge consists of the fundamental limitation of the narrow bandwidth, despite efforts to improve spectral efficiency by using advanced modulation schemes and signal processing techniques. Therefore, many candidate technologies for future wireless systems operate in the higher bands where wider bandwidth is available. The resonant tunneling diode (RTD) is the fastest solidstate device compared with any other traditional electronic devices, such as field-effect or bipolar transistors (MOSFETs,
W
Manuscript received April 13, 2018; revised June 11, 2018 and July 12, 2018; accepted July 13, 2018. Date of publication August 14, 2018; date of current version November 5, 2018. This work was supported in part by the European Commission through the iBROW Project under Grant 645369 and in part by the National Natural Science Foundation of China (NSFC) under Grant 61405110. (Corresponding author: Jue Wang.) J. Wang, A. Al-Khalidi, R. Morariu, A. Ofiare, and E. Wasige are with the High Frequency Electronics Group, University of Glasgow, Glasgow G12 8LP, U.K. (e-mail:
[email protected];
[email protected]). L. Wang is with the Shanghai Electro-Mechanical Engineering Institute, Shanghai 201109, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2859983
HEMTs, or HBTs) or diode technologies (IMPATT, Gunn, and so on). The fundamental frequency of an RTD oscillator is approaching 2 THz [4]. Recently, high data rate wireless transmission using RTDs has been reported. Asada’s group achieved 30 ∼ 34-Gb/s data rates by using direct modulation of the RTD using amplitude shift keying (ASK). The transmitter (Tx) comprised a single 1-μm2-sized RTD in a slot antenna. High peak current density is >600 kA/cm2 , and RTD epitaxial designs were used. The Tx frequency was 490 GHz with 26∼60-μW output power [5], [6]. Due to the high dielectric constant of the substrate, the radiated power is directed mostly in the substrate and is therefore extracted from the substrate backside through a Si-collimation lens. Earlier, Ohlsson and Wernersson [7] reported 15 Gb/s over 1.5-m wireless link using an RTD-MOSFET wavelet generator. A 62.5-GHz single RTD source with 3.2-mW output power was integrated with a 130-nm III–V MOSFET switch through which the source was modulated. A horn antenna was used in this setup. The group has also employed a dielectric resonator antenna in [8]. This approach is, however, limited to using only ON–OFF keying (OOK) modulation scheme. In this paper, we propose a high-power (2 mW) W-band double RTD MMIC Tx design. The work reported here is based on our earlier research, which has established a robust mm-wave and terahertz RTD source technology [9]–[12]. The designs feature low phase noise sources [9] and relatively high output powers of around 1, 0.5, and 0.3 mW at W-band, D-band, and J-band, respectively [10]–[12]. A unique feature of the approach is the use of low peak current density, ∼190 kA/cm2 , epitaxial designs, and therefore large mesa RTD sizes of around 9–25 μm2 . The device self-capacitance is in the femtofarad range, ∼3 fF/μm2 , and so requires only a suitably chosen resonating inductance to realize the required frequency. The Tx reported here was designed for high-speed shortrange wireless communication applications. The modulation scheme employed was ASK. OOK, as a special case of ASK, was also investigated. High data rate of 15 Gb/s with over 50-cm long wireless links was demonstrated. The preliminary results show comparable/better performance than most up to date Si-based CMOS transceiver solutions. In [13] and [14], for instance, 60-GHz carrier frequencies using 90-nm CMOS are demonstrated using OOK modulation with corresponding 3.3-/10.7-Gb/s data rates over 4-/10-cm ranges. In [15], 80-/100-GHz transceiver using 65-nm CMOS is demonstrated
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
WANG et al.: 15-Gb/s 50-cm WIRELESS LINK USING HIGH-POWER COMPACT III–V 84-GHz Tx
4699
TABLE I P ERFORMANCE C OMPARISON
using ASK modulation at 23 Gb/s over a 1-cm wireless link. In [16], a 57-/80-GHz transceiver using 40-nm CMOS shows ASK modulated 20 Gb/s over a 5-mm wireless link. This comparison is summarized in Table I. Compared with the standard CMOS techniques, the RTD Tx solution proposed in this paper does not require any power amplifier (PA) or frequency multiplier/synthesizer stage, which greatly reduces the circuit complexity and design costs. In addition, the described approach is applicable at higher frequencies also, as recently demonstrated by the group at 300-GHz targeting real emerging applications such as wireless data centers [17]. Last but not least, the RTD manufacture requires only low-cost photolithography because of the relaxed (μm) device geometry requirements. The proposed Tx is therefore a very promising technique for future low-cost high-speed wireless communication links. This paper is organized as follows. Section II describes the wireless system architecture. Section III introduces the RTD Tx design, including the RTD device technology, the device modeling, and the high-power Tx design. Section IV describes the wireless measurements and results, while Section V provides conclusions of this paper.
Fig. 1. Typical RTD device I –V characteristics and illustration of ASK/OOK modulation.
II. W IRELESS S YSTEM A RCHITECTURE A. RTD Device Characteristic and Modulation Scheme The core layer structure of an RTD device consists of a narrowband gap (E g ) semiconductor material sandwiched between two thin wide bandgap materials. The epilayer is therefore called a double barrier quantum well structure. Electrons can tunnel through these barriers despite having lower self-energy [18]; therefore, the current–voltage (I –V ) characteristic of an RTD device exhibits a negative differential resistance (NDR), as shown in Fig. 1. By using this NDR feature, high-frequency RTD oscillators can be designed. The RTD design details are introduced in Section III. Both ASK and OOK modulations are applicable to the RTD Tx depending on the bias position and the amplitude of the data, as shown in Fig. 1. ASK modulation has been widely used because of the advantages of being a simple, low-cost, and high-bandwidth efficiency technique [19]. OOK as a special
Fig. 2.
Block diagram of the wireless system architecture.
case of ASK represents the data modulation by switching ON – OFF the carrier and has been investigated as an alternative scheme. For OOK modulation, the RTD is biased near the peak voltage (V p ) position for the input NRZ data to switch ON – OFF the oscillator, while for ASK modulation, the RTD device is biased in the middle of the NDR region. In contrast of OOK, the data amplitude requirement for ASK is low within the NDR region, as shown in Fig. 1. B. Wireless System Architecture The block diagram of the wireless system is shown in Fig. 2.
4700
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 4. Measured and modeled device I –V characteristics. The negative differential conductance G n is also shown. Note that G n is negative across the entire NDR region with a minimum value of −42.5 mS.
Fig. 3. Schematic layer structure of an RTD. The inset SEM photograph shows the fabricated 16-μm2 (top mesa) sized device.
The Tx consists of an 84-GHz (fundamental frequency) voltage-controlled RTD oscillator (RTD-VCO) and a WR-10 conical horn antenna. The data are superimposed over dc bias through a bias tee. As output power of RTD-VCO is high in the mW range, no PA is employed in this stage. On the receiver (Rx) side, the identical WR-10 conical horn antenna is used. The signal is demodulated by a Schottky barrier diode (SBD) envelope detector and amplified by a low-noise amplifier (LNA). C. Link Budget The measured Tx output power is 3 dBm (2 mW); the antenna gain is 21 dBi (as given by the manufacturer data sheet) and is the same for both Tx and Rx; the total link loss is estimated to be 5 dB from the Tx side and includes the connection loss between the antenna and the oscillator (2 dB), the RF probe insertion loss (2 dB), and the bias tee loss (1 dB). The Rx loss includes the connection, and the cable loss value is assumed to be 2 dB in total. Insertion losses due to impedance mismatching of the antenna and the oscillator output, and miscellaneous losses are not considered in this experiment. For the SBD working in square-law detection region, the required power is in the range of 3–5 μW [20]. Therefore, given the Tx power, the calculated maximum allowable free-space path loss is 63 dB, which corresponds to a distance of around 40 cm at 84 GHz.
Fig. 5. RTD device, RLC model. Rs represents the device series resistance, −G n represents the negative differential conductance, and Cn represents the RTD capacitance. And L QW is the quantum-well inductance.
is 26 nm for both collector and emitter. The inset scanning electron microscope (SEM) micrograph shows the central top contact mesa size of 16 μm2 . The fabrication process used for the presented devices is fully compatible with low-cost optical lithography. Due to anisotropic wet etching (100 nm/min), the effective device size is estimated to be 12.8 μm2 for the typical mesa height of 400 nm. The I –V characteristic of the device is shown in Fig. 4. Due to parasitic bias oscillations, the measured I –V is distorted and shows a plateaulike feature in the NDR region. The peak current density is 187 kA/cm2 , and the peak-to-valley current ratio is about 2.5. The I –V characteristic was modeled by using a ninth-order polynomial, which shows a good fit with the measurement. It was also modeled with a quasi-physical model in which the numerical constants are determined empirically [21]. Either of these analytical models is easy to use in circuit simulators. The calculated differential conductance (G n ) is also shown in Fig. 4. The NDR region is between peak voltage V p = 0.9 V and valley voltage Vv = 1.7 V, with a minimum value of G n of −42.5 mS.
III. T RANSMITTER D ESIGN A. Resonant Tunneling Diode Device
B. RTD Device Modeling
The RTD epitaxial layer structure for this paper consists of a 4.5-nm InGaAs quantum well (E g = 0.75 eV) sandwiched between double 1.4-nm AlAs barriers (E g = 2.16 eV). The typical RTD layer structure is shown in Fig. 3. The spacer layer
In order to realize an accurate oscillator circuit design, the RTD small-signal model parameters were investigated. The RTD was represented by its small-signal RLC model, as shown in Fig. 5.
WANG et al.: 15-Gb/s 50-cm WIRELESS LINK USING HIGH-POWER COMPACT III–V 84-GHz Tx
Rs represents the device series resistance, including metal–semiconductor contact resistance and sheet resistance. As the contact layer doping level is high and a chosen small thickness of 40 nm, the sheet resistance is negligible. The metal–semiconductor contact resistance is estimated from transmission line measurements. The measured value Rs is 5.2 . −G n represents the negative differential conductance, which is calculated/extracted from both dc and S-parameter measurements. Cn denotes the RTD self-capacitance and includes the geometrical capacitance C0 and quantum capacitance C Q [22], [23]. Cn denoted as a crucial parameter, which determines the oscillator frequency and is defined by (1) in terms of C0 and C Q . The geometrical capacitance C0 can be estimated by (2), where A is the device size, L w , L B , and L D are the width of quantum well, barrier region, and depletion region, respectively, and εw , ε B , and ε D are the dielectric constant of quantum well, barrier region, and depletion region. The calculated C0 = 38 fF. The quantum capacitance C Q is estimated by (3) where v C is the electron escape rate which is the reciprocal of the transit time (τRTD ). It includes transit through quantum well (τdwell ) and collector depletion region (τdep ). (1) Cn = C0 + C Q A (2) C0 = L 2L B LD w + εw εB + εD −G n . (3) CQ = vC C Q was estimated to be 30 fF. The quantum-well inductance L QW can be expressed in terms of electron dwell time (τdwell) in quantum well as [22], [24] τdwell L QW = . (4) Gn However, L QW will not limit the oscillator frequency as discussed in [25]. Therefore, L QW is neglected in the analysis. The intrinsic cutoff frequency ( f int ) of the RTD is given by [26] f int =
1 4 τdwell +
τdep . 2
(5)
τdep is estimated to be 87 fs for the 26-nm-thick spacer (assuming a drift velocity of 3 × 107 cm/s [26]), while τdwell is estimated to be 618 fs from quantum capacitance C Q . Therefore, f int is about 378 GHz. One-port S-parameter measurements were taken in order to extract the capacitance value. The schematic of the measurement setup is shown in Fig. 6(a). The port input power was carefully chosen to ensure the RTD operated in its linear regime. The VNA output power was set at −33 dBm, which is equivalent to 14-mV peak–peak voltage assuming 50- load impedance. The coplanar waveguide (CPW) feed line with a characteristic impedance Z 0 = 50 and length l = 100 μm was deembedded by using the open-short deembedding method. The parasitic elements introduced by the CPW were represented by its lumped model, as shown in Fig. 6(b). By subtracting Y-parameter (Y p ) of the open structure and Z-parameter (Z s ) of the short structure from
4701
Fig. 6. (a) Schematic deembedding circuit. (b) Equivalent lumped model including admittance Y p and impedance Z s .
Fig. 7. Extracted capacitance value of the 16-μm2 -sized RTD device. The capacitance is bias voltage-dependent.
measurement, the RTD capacitor value is derived from the imaginary part of Y11 . The specific values were extracted at 10 GHz at various bias points [27]. The results are plotted in Fig. 7. As the device is not stable in NDR, no valid data could be obtained in this region. The extracted Cn value is about 40 fF (see Fig. 7). This value is consistent with the geometrical capacitance value in the positive differential resistance region. The impedance of the device Z RTD is presented by (6) Z RTD = Rs −
G n + j υC n . G 2n + (υC n )2
(6)
The cutoff frequency is derived by (7) when the real part of Z RTD equals zero Gn 1 f max = − G 2n . (7) 2πCn Rs The calculated cutoff frequency of the 16-μm2 -sized RTD is 325 GHz. C. Double RTD Oscillator Design and Measurement Compared with the commonly employed single RTD slot antenna design, whose radiation pattern is measured through a hemispherical Si lens (see [4], [28]), the high-power RTD oscillator design proposed here employs two RTDs in parallel with an on-wafer configuration. The schematic circuit diagram of the oscillator is shown in Fig. 8(a), where Re is
4702
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 8. (a) Schematic circuit of an oscillator employing two RTDs, each with its own dc stabilization circuit Re and Ce . (b) Oscillator RF equivalent circuit.
the stabilizing resistor to suppress the low-frequency bias oscillations. The bypass capacitor Ce is included in order to short the RF signal to ground at the designed oscillation frequency. Inductor L is designed to resonate with the RTDs’ self-capacitance in order to determine the oscillating frequency. R L is the load resistance. As two devices are nominally identical, are located next to each other, and are biased simultaneously, they work as an equivalent large single NDR device with double negative differential conductance and capacitance. Fig. 8(b) shows the RF equivalent circuit, where assuming that RTD1 and RTD2 are identical, the total capacitance Cn∗ = 2Cn , negative conductance G ∗n = 2G n , and series resistance Rs∗ = Rs /2. The circuit topology is similar to our previous publications [9]–[11]. The oscillator frequency f o is determined by imag[Y ] + υ0 C ∗n = 0 where Y is the admittance of the indicated circuit. L 1 − Rs2 . fo = 2π L(G L R s + 1) Cn
Fig. 9. Fabricated double RTD oscillator. Two RTDs are connected in parallel. Each device is biased individually with resistor Re and bypass capacitor Ce . Cb is the dc block capacitor. The CPW length l = 42 μm.
(8)
(9)
In [29], for a single NDR oscillator, where Rs is neglected and G n = (3I /2V ), when G L = G n /2, the maximum power Pmax = (3/16)V I , where V = 0.8 V is the peak-to-valley voltage difference and I = 16.6 mA is the peak-to-valley current difference. Similarly, for double RTD, when G L = (G ∗n /2) = G n , Pmax = (3/8)V I = 5.0 mW. The RTD technology with low output power limitation can be overcome by optimizing device layer structure to maximize V and I [12]. The calculation is based on the assumption that Rs is negligible. When the oscillator frequency is close to the cutoff frequency, the power dissipated by Rs∗ needs to be considered. In this case, it can be shown that [30] G 2n + 4(2π f 0 C n )2 ∗ Pmax ( f 0 ) = Pmax 1 − . (10) G 2n + 4(2π f max C n )2 Equation (10) demonstrates that when Rs is taken into ∗ ( f ) becomes consideration, the maximum output power Pmax 0 a function of f 0 . When the RTD device conductance is −G n = −35.6 mS and the oscillation frequency f o = 84 GHz, the estimated maximum power is about 3.5 mW. A micrograph of the fabricated circuit is shown in Fig. 9. Two RTD devices (RTD1 and RTD2) were employed in parallel with the circuit with each device biased individually.
Fig. 10. (a) Passive CPW structure is the same as in the oscillator design. (b) Comparison of the measured and modeled inductance of the CPW structure.
Re was realized as a thin film NiCr resistor. The decoupling capacitor Ce was fabricated by using a metal–insulator–metal capacitor (Ce = 2 pF), with its dielectric layer SiNx deposited by inductively coupled plasma chemical vapor deposition. Cb was designed as a dc block capacitor with value Cb = 1.5 pF. The CPW structure with a length of l = 42 μm is terminated by Ce , as shown in Fig. 9. From transmission line theory, it is known that the equivalent inductance is given by (11), where Z 0 = 50 is the CPW characteristic impedance and β is the wavenumber L=
Z 0 tan(βl) . 2π f 0
(11)
A test structure was fabricated alongside the oscillator to verify the inductance value. The passive CPW test structure is shown in Fig. 10(a) and is the same design as the one integrated in the oscillator circuit. The inductance value was extracted from S-parameter measurements. As shown in Fig. 10(b), the calculated value shows fair matching with the measurement. When f 0 = 84 GHz, the extracted inductance value is 12.5 pH, while the model value shows 16.3 pH.
WANG et al.: 15-Gb/s 50-cm WIRELESS LINK USING HIGH-POWER COMPACT III–V 84-GHz Tx
4703
Fig. 11. (a) Schematic measurement setup. The output is through RF probe connected with an external mixer to a spectrum analyzer. (b) Lab measurement setup. The RF probe used is Cascade ACP-110 probe. The mixer used is Keyssight 11970W mixer.
Fig. 13. (a) Block diagram of the wireless communication measurement setup. (b) Measurement setup in the lab.
Fig. 12. Measured W-band RTD oscillator bias-dependent frequency and output power. The frequency was about 84.5 GHz. The maximum power was about 2 mW.
The oscillators were measured on-wafer. The schematic and lab measurement setups are shown in Fig. 11(a) and (b). Since the Keysight E4448A spectrum analyzer is limited to measuring spectra up to 50 GHz, an external W-band mixer Keysight 11970W was used to downconvert the high frequency signal to the measurement range of the E4448A. As the mixer insertion loss is difficult to calibrate in the experiment, the actual power was confirmed by using Erickson PM5 power meter from VDI. The measurement results including bias-dependent frequency and power are plotted in Fig. 12. The central frequency is around 84.5 GHz, with a tunable range of about 150 MHz and a measured maximum power of about 2 mW. This is the highest power reported by an RTD oscillator in the W-band range. Using the measured oscillation frequency, the extracted contact resistance, and the known resonating inductance in equation (8), the device capacitance of a single RTD can be estimated to be 78 fF. This is slightly higher than that computed from (1), but showing the modeling is fairly accurate. IV. W IRELESS M EASUREMENTS A. Measurement Setup and Results A block diagram of the measurement setup for the wireless experiments is shown in Fig. 13(a), while the lab measurement setup is shown in Fig 13(b). The distance between the transmit and receive horn antennas was 50 cm. The 215 − 1
Fig. 14. 10-Gb/s ASK eye diagram when RTD is based at 1.2 V with data amplitude 400 mV.
pseudorandom binary sequence data from Keysight M8040A are superimposed through bias-T on dc bias of the RTD Tx. The corresponding modulated output is transmitted through the on-wafer RF probe terminated with the horn antenna (Tx). On the Rx side, the data are demodulated by zero bias SBD from VDI. The typical responsivity of SBD is 2 V/mW. The output is amplified by an LNA with 20-GHz bandwidth and 12-dB gain. Eye diagrams of the transmitted data were investigated by 86100C oscilloscope from Keysight Technologies. 1) ASK Modulation: When the RTD is biased at 1.3 V (88 mA), the best results are obtained when the data amplitude ranges between −200 and 200 mV. From the dc characteristics shown in Fig. 4, it is known that 1.3 ± 0.2 V still corresponds to the NDR region. The measured 10 and 15 Gb/s eye diagrams are shown in Figs. 14 and 15. The system’s dc power
4704
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
An error free BER is expected up to 10 Gb/s by an optimizing measurement setup such as using a high-gain LNA/Antenna. 2) OOK Modulation: When the RTD was biased at 1.10 V (83 mA), with a data amplitude ranging from −450 to 450 mV, we know from Fig. 4 (device I –V characteristics) that 1.1 + 0.45 V is close to the valley voltage ( ON state), while 1.1−0.45 V is in the positive resistance region (OFF state), and therefore, the Tx works in the OOK mode. The measured eye diagrams of 10 Gb/s is shown in Fig. 17. Compared with the ASK modulation, OOK modulation shows worse performance (noisy and high BER) than the ASK modulation. V. C ONCLUSION Fig. 15. 15-Gb/s ASK eye diagram when RTD is based at 1.2 V with data amplitude 400 mV.
A high-power W-band RTD oscillator/Tx is presented in this paper. Up to 15 Gb/s, ASK modulation over a 50-cm wireless link has been demonstrated with correctable BER. The RTD Txs provide a very promising simple, low-cost, compact solution for future ultrafast wireless communication systems. Future work will include the integration of on-chip high-gain antennas for very short range (cm ranges) applications such as kiosk downloading or the implementation of substratein-waveguide technology for longer range (tens of meters) applications such as wireless links in data centers. Clearly, the use of higher modulation schemes, such as QAM, would enable higher data rates and so will be assessed. Also, more work on the modeling of the device is required. ACKNOWLEDGMENT The authors would like to thank the staff of the James Watt Nanofabrication Centre, University of Glasgow, for their help in fabricating the devices.
Fig. 16. Measured BER performance up to 5 Gb/s, the BER is around 1.0 × 10−6 and 3.6 × 10−4 for 10 Gb/s and 4.1 × 10−3 for 15 Gb/s.
Fig. 17. 10 Gb/s OOK eye diagram: when RTD is based at 1.1 V with data amplitude 900 mV.
consumption for the Tx side is 114.4 and 613 mW for the LNA on the Rx. The bit error rate (BER) was also measured using an M8040A BERT from Keysight Technologies. The results are shown in Fig. 16. Up to 5 Gb/s, the BER is around 1.0 × 10−6 and 3.6 × 10−4 for 10 Gb/s and 4.1 × 10−3 for 15 Gb/s.
R EFERENCES [1] P. Smulders, “The road to 100 Gb/s wireless and beyond: Basic issues and key directions,” IEEE Commun. Mag., vol. 51, no. 12, pp. 86–91, Dec. 2013. [2] T. Kürner and S. Priebe, “Towards THz communications—Status in research, standardization and regulation,” J. Infr., Millim., Terahertz Waves, vol. 35, no. 1, pp. 53–62, Jan. 2014. [3] H.-J. Song and T. Nagatsuma, “Present and future of terahertz communications,” IEEE Trans. THz Sci. Technol., vol. 1, no. 1, pp. 256–263, Sep. 2011. [4] T. Maekawa, H. Kanaya, S. Suzuki, and M. Asada, “Oscillation up to 1.92 THz in resonant tunneling diode by reduced conduction loss,” Appl. Phys. Express, vol. 9, no. 2, p. 24101, 2016. [5] N. Oshima, K. Hashimoto, D. Horikawa, S. Suzuki, and M. Asada, “Wireless data transmission of 30 Gbps at a 500-GHz range using resonant-tunneling-diode terahertz oscillator,” in IEEE Int. Microw. Symp. Dig., May 2016, pp. 1–4. [6] N. Oshima, K. Hashimoto, S. Suzuki, and M. Asada, “Wireless data transmission of 34 Gbit/s at a 500-GHz range using resonanttunnelling-diode terahertz oscillator,” Electron. Lett., vol. 52, no. 22, pp. 1897–1898, Oct. 2016. [7] L. Ohlsson and L. E. Wernersson, “A 15-Gb/s wireless ON–OFF keying link,” IEEE Access, vol. 2, pp. 1307–1313, 2014. [8] L. Ohlsson, D. Sjöberg, and L.-E. Wernersson, “Codesign of compact III⣓V millimeter-wave wavelet transmitters with on-chip antennas,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 1, pp. 273–279, Jan. 2018. [9] J. Wang, L. Wang, C. Li, B. Romeira, and E. Wasige, “28 GHz MMIC resonant tunnelling diode oscillator of around 1mW output power,” Electron. Lett., vol. 49, no. 13, pp. 816–818, Jun. 2013. [10] J. Wang et al., “MMIC resonant tunneling diode oscillators for THz applications,” in Proc. 11th Conf. Ph.D. Res. Microelectron. Electron. (PRIME), Jun./Jul. 2015, pp. 262–265.
WANG et al.: 15-Gb/s 50-cm WIRELESS LINK USING HIGH-POWER COMPACT III–V 84-GHz Tx
[11] J. Wang et al., “High performance resonant tunneling diode oscillators for THz applications,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), Oct. 2015, pp. 1–4. [12] J. Wang et al., “High performance resonant tunneling diode oscillators as terahertz sources,” in Proc. Eur. Microw. Conf., Oct. 2016, pp. 341–344. [13] J. Lee, Y. Chen, and Y. Huang, “A low-power low-cost fully-integrated 60-GHz transceiver system with OOK modulation and on-board antenna assembly,” IEEE J. Solid-State Circuits, vol. 45, no. 2, pp. 264–275, Feb. 2010. [14] C. W. Byeon, C. H. Yoon, and C. S. Park, “A 67-mW 10.7-Gb/s 60-GHz OOK CMOS transceiver for short-range wireless communications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3391–3401, Sep. 2013. [15] K. Nakajima et al., “23 Gbps 9.4 pJ/bit 80/100GHz band CMOS transceiver with on-board antenna for short-range communication,” in Proc. IEEE Asian Solid-State Circuits Conf., Nov. 2015, pp. 173–176. [16] Y. Tanaka et al., “A versatile multi-modality serial link,” in Proc. IEEE Int. Solid-State Circuits Conf., vol. 55, Feb. 2012, pp. 332–333. [17] A. Al-khalidi, K. Alharbi, J. Wang, and E. Wasige, “THz electronics for data centre wireless links—The TERAPOD project,” in Proc. 9th Int. Congr. Ultra Modern Telecommun. Control Syst., Nov. 2017, pp. 445–448. [18] J. H. Davies, The Physics of Low-dimensional Semiconductors: An Introduction. Cambridge, U.K.: Cambridge Univ. Press, 1998. [19] B. B. Purkayastha and K. K. Sarma, A Digital Phase Locked Loop Based Signal and Symbol Recovery System for Wireless Channel. New Delhi, India: Springer, 2015. [20] J. L. Hesler, T. W. Crowe, and V. Diodes, “Responsivity and noise measurements of zero-bias Schottky diode detectors,” in Proc. 18th Int. Symp. Symp. Terahertz Technol., 2007, pp. 89–92. [21] E. R. Brown, O. B. McMahon, L. J. Mahoney, and K. M. Molvar, “SPICE model of the resonant-tunnelling diode,” Electron. Lett., vol. 32, no. 10, pp. 938–940, May 1996. [22] Q. Liu, A. Seabaugh, P. Chahal, and F. J. Morris, “Unified AC model for the resonant tunneling diode,” IEEE Trans. Electron Devices, vol. 51, no. 5, pp. 653–657, May 2004. [23] R. Lake and J. Yang, “A physics based model for the RTD quantum capacitance,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 785–789, Mar. 2003. [24] E. R. Brown, C. D. Parker, and T. C. L. G. Sollner, “Effect of quasibound-state lifetime on the oscillation power of resonant tunneling diodes,” Appl. Phys. Lett., vol. 54, no. 10, pp. 934–936, 1989. [25] M. N. Feiginov, “Does the quasibound-state lifetime restrict the highfrequency operation of resonant-tunnelling diodes?” Nanotechnology, vol. 11, no. 4, pp. 359–364, Dec. 2000. [26] M. Asada, S. Suzuki, and N. Kishimoto, “Resonant tunneling diodes for sub-terahertz and terahertz oscillators,” Jpn. J. Appl. Phys., vol. 47, no. 6R, p. 4375, Jun. 2008. [27] S. Diebold et al., “Modeling and simulation of terahertz resonant tunneling diode-based circuits,” IEEE Trans. THz Sci. Technol., vol. 6, no. 5, pp. 716–723, Sep. 2016. [28] M. Asada and S. Suzuki, “Compact THz oscillators with resonant tunneling diodes and application to high-capacity wireless communications,” in Proc. Conf. Proc. 21st Int. Conf. Appl. Electromagn. Commun. (ICECom), Oct. 2013, pp. 1–5. [29] C. S. Kim and A. Brändli, “High-frequency high-power operation of tunnel diodes,” IRE Trans. Circuit Theory, vol. 8, no. 4, pp. 416–425, Dec. 1961. [30] F. C. Woo, Principles of Tunnel Diode Circuits. New York, NY, USA: Wiley, 1964.
Jue Wang received the Ph.D. degree in electronics and electrical engineering from the University of Glasgow, Glasgow, U.K., in 2014. Since 2014, he has been involved in resonant tunneling diode-based terahertz oscillator design as a Post-Doctoral Researcher with the University of Glasgow. His current research interests include highpower terahertz devices and terahertz applications, including wireless communications and imaging.
4705
Abdullah Al-Khalidi received the bachelor’s, M.Sc., and Ph.D. degrees from the University of Glasgow, Glasgow, U.K., in 2010, 2011, and 2015, respectively. He is currently a Post-Doctoral Researcher with the University of Glasgow. His current research interests include THz resonant tunneling diodes and gallium nitride (GaN) transistor technologies.
Liquan Wang (S’11–M’12) received the B.Eng. degree in telecommunication engineering from Hangzhou Dianzi University, Hangzhou, China, in 2004, and the M.Sc. and Ph.D. degrees in electronics and electrical engineering from the University of Glasgow, Glasgow, U.K., in 2006 and 2012, respectively. Since 2012, he has been with the Shanghai Electro-Mechanical Engineering Institute, Shanghai, China. His current research interests include the reliable design of high-power resonant-tunnelingdiode (RTD)-based microwave and THz oscillators, RTD-driven laser diode circuits and associated applications, and the development of THz imaging systems.
Razvan Morariu received the M.Eng. degree in electronics and electrical engineering from the University of Glasgow, Glasgow, U.K., in 2016, where he is currently pursuing the Ph.D. degree in the design and characterization of resonant tunneling diode-based terahertz oscillators and detectors.
Afesomeh Ofiare received the B.Eng. degree in electrical and electronic engineering from Madonna University Nigeria, Okija, Nigeria, in 2005, and the M.Sc. and Ph.D. degrees in electronic and electrical engineering from the University of Glasgow, Glasgow, U.K., in 2009 and 2016, respectively. He is currently a Research Assistant with the University of Glasgow. His current research interests include high-frequency device characterization, antennas for millimeter-wave and THz applications, and wireless communications.
Edward Wasige received the B.Sc. (Eng.) degree in electrical engineering from the University of Nairobi, Nairobi, Kenya, in 1988, the M.Sc. (Eng.) degree from the University of Liverpool, Liverpool, U.K., in 1990, and the Ph.D. degree in electrical engineering from the University of Kassel, Kassel, Germany, in 1999. He was a UNESCO Post-Doctoral Fellow with the Technion–Israel Institute of Technology, Haifa, Israel. He became a Lecturer with the University of Glasgow, Glasgow, U.K., in 2002. His current research interests include compound semiconductor micro/nanoelectronics and applications with a focus on GaN electronics and RTD-based terahertz electronics.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Nonreciprocal Components Based on Switched Transmission Lines Aravind Nagulu , Student Member, IEEE, Tolga Dinc , Student Member, IEEE, Zhicheng Xiao, Mykhailo Tymchenko, Dimitrios L. Sounas, Senior Member, IEEE, Andrea Alù , Fellow, IEEE, and Harish Krishnaswamy , Member, IEEE
Abstract— Nonreciprocal components, such as isolators and circulators, are critical to wireless communication and radar applications. Traditionally, nonreciprocal components have been implemented using ferrite materials, which exhibit nonreciprocity under the influence of an external magnetic field. However, ferrite materials cannot be integrated into IC fabrication processes and, consequently, are bulky and expensive. In the recent past, there has been strong interest in achieving nonreciprocity in a nonmagnetic IC-compatible fashion using spatio-temporal modulation. In this paper, we present a general approach to nonreciprocity based on switched transmission lines. Switched transmission lines enable broadband, lossless, and compact nonreciprocity and a wide range of nonreciprocal functionalities, including nonreciprocal phase shifters, ultra-broadband gyrators and isolators, frequency-conversion isolators, and highlinearity/high-frequency/ultra-broadband circulators. We present a detailed theoretical analysis of the various nonidealities that impact insertion loss and provide design guidelines. The theory is validated by experimental results from discrete-componentbased gyrators and isolators and a 25-GHz circulator fabricated in a 45-nm SOI CMOS technology. Index Terms— Circulators, CMOS, full duplex, gyrators, isolators, linear periodically time-varying (LPTV) circuits, millimeter-wave passive components, nonreciprocity, radars, ultra-wideband (UWB) communication, UWB circuit techniques.
I. I NTRODUCTION
N
ONRECIPROCAL components, such as gyrators, isolators, and circulators, have numerous applications in the fields of wireless communication and radar. Frequencymodulated continuous-wave (FMCW) radars typically operate in simultaneous-transmit-and-receive (STAR) mode, and
Manuscript received March 20, 2018; revised July 1, 2018; accepted July 10, 2018. This work was supported by DARPA under the ACT and SPAR programs. This paper is an expanded version from the International Microwave and RF Conference (IMaRC 2017), Ahmedabad, India, December 11–13, 2017. (Corresponding author: Harish Krishnaswamy.) A. Nagulu, T. Dinc, and H. Krishnaswamy are with the Department of Electrical Engineering, Columbia University, New York, NY 10027 USA (e-mail:
[email protected]). Z. Xiao, M. Tymchenko, and D. L. Sounas are with the Department of Electrical and Computer Engineering, The University of Texas at Austin, Austin, TX 78712 USA. A. Alù is with the Department of Electrical and Computer Engineering, The University of Texas at Austin, Austin, TX 78712 USA, and also with the Advanced Science Research Center, City University of New York, New York, NY 10031 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2859244
a circulator is critical to enable the transmitter and the receiver to share the same antenna and avoid saturation of the receiver. Full-duplex wireless is an emerging wireless communication paradigm, which has drawn significant research interest in recent years [1]–[10] due to its potential to double the spectral efficiency in the physical layer and offer numerous other benefits in higher layers. Unlike traditionally used time-division or frequency-division duplexing schemes, in full-duplex wireless, the transmitter and the receiver operate at the same time and at the same frequency. Once again, the circulator is critical in allowing the transmitter and the receiver to share the same antenna. Isolators are commonly used to protect power amplifiers from reflections at the antenna interface. The gyrator was postulated by Tellegen [11] as the fifth linear circuit element after the resistor, capacitor, inductor, and transformer, providing a nonreciprocal phase equal to π, and is a basic nonreciprocal element, which can be used to realize arbitrary passive nonreciprocal circuits [12], [13]. Lorentz reciprocity states that any linear and timeinvariant (LTI) medium with symmetric permittivity and permeability tensors is reciprocal. Historically, reciprocity has been broken by biasing magnetic (ferrite) materials using permanent magnets [14], [15]. However, magnetic materials are incompatible with IC fabrication technology, and therefore, magnetic nonreciprocal components tend to be bulky and expensive. IC-compatible circulators have been proposed using the inherent nonreciprocal behavior of active voltage-/ current-biased transistors [16]–[19]. However, active nonreciprocal components are severely limited by the linearity and noise performance of the active transistors and are therefore not suitable for wireless applications which demand stringent performance on those fronts [20]. Nonlinearity can be used to violate reciprocity and has been extensively studied in the optical domain [21]–[24], but these techniques have limited utility in wireless communication applications due to their stringent linearity constraints. Recently, exciting research efforts have been made to break reciprocity using spatio-temporal modulation [25]–[28], with permittivity being the material parameter that is modulated. In [25], a transmission line is periodically loaded with varactors, and a traveling-wave modulates the varactors along the line. The unidirectional modulation signal imparts a directiondependent frequency conversion to the input signal as it travels along the line. Thus, forward and reverse traveling signals are
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
separated in frequency and can be isolated from each other using a frequency diplexer. In this structure, the length of the transmission line required is inversely proportional to the modulation contrast. In general, however, permittivity modulation is weak, with varactors exhibiting a typical Cmax /Cmin ratio of 2–4 on chip. As a result, a large form-factor is required to achieve strong nonreciprocity. Furthermore, varactors exhibit very poor quality factor as the operation frequency is increased to millimeter-wave frequencies, resulting in higher insertion loss. In [26] and [27], it was demonstrated that traveling-wave modulation in a resonant ring results in angular momentum biasing and strong nonreciprocity without frequency conversion. The ring can be miniaturized using lumped components, but this degrades the operating bandwidth. Most importantly, as before, the limitations of permittivity modulation using varactors, namely limited modulation contrast and the degradation of the quality factor as frequency increases, remain the same. On the other hand, conductivity can be very efficiently and strongly modulated in semiconductor media, with CMOS transistors exhibiting ON/ OFF conductance ratios as high as 103 –105 [29]. It has been shown that by modulating the conductivity, i.e., switching transistors, on either side of a delay medium, extremely strong, low-loss, and broadband nonreciprocity can be achieved within a small form-factor [30]–[36]. The first demonstration involved staggered (i.e., phase-shifted) switching of transistors across a capacitor bank (commonly called an N-path filter [37], [38]) [30]. The phase-shifted N-path filter realizes an electrically infinitesimal gyrator, which was then embedded within a ring to realize a compact circulator. The placement within the ring was further optimized to suppress the voltage swing at the gyrator for transmitter-port excitations, enhancing the linearity and power handling. However, this approach suffers from low bandwidth because the capacitors do not provide a true time delay. In addition to this, N-path filters are not realizable at millimeter-wave frequencies due to their stringent clocking requirements as they require multiple phases of a clock at the frequency of operation. When the delay medium is replaced by a transmission line of appropriate length, nonreciprocity can be observed over much wider bandwidths. In addition to this, the modulation frequency can be greatly reduced relative to the operating frequency [31], [32]. These concepts were leveraged to realize the first millimeterwave (25 GHz) passive nonmagnetic circulator in a CMOS technology in [31] and [32]. Reference [36] is a review of the N-path-filter-based circulator [30] and the millimeterwave circulator [32]. Similar concepts were used in [33] to demonstrate an ultra-broadband circulator operating from 200 kHz to 200 MHz using discrete switches and coaxial cable delays and in [34] to implement a 0.2-μm GaN HEMT MMIC circulator operating up to 1 GHz. This paper presents an exhaustive set of various nonreciprocal structures possible by using spatio-temporal conductivity modulation across transmission line delays, including an arbitrary phase-nonreciprocal element, an ultra-broadband gyrator, a frequency-conversion isolator, an ultra-broadband isolator, and ultra-broadband/high-linearity/high-frequency circulators. A detailed analysis for estimating the transmission
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. Single-ended phase-nonreciprocal element (a) circuit diagram and operation and (b) fundamental-to-fundamental scattering parameters for f m = 8.33 GHz.
losses is presented, along with results from a 25-GHz 45-nm SOI CMOS circulator prototype as a case study. This analysis can aid in choosing between different implementation technologies, fabrication processes, and nonreciprocal element topologies, and in performance optimization during the design phase. The rest of this paper is organized as follows. Section II discusses various phase-nonreciprocal configurations. Section III discusses various isolator and circulator topologies. Section IV contains a detailed analysis for estimating losses. Section V considers case studies implemented using discrete switches and coaxial cables, while Section VI details the 25-GHz 45-nm SOI CMOS circulator case study. Section VII concludes this paper. II. P HASE N ONRECIPROCITY A. Single-Ended Phase-Nonreciprocal Element Fig. 1(a) shows the circuit diagram and the operation of a single-ended phase-nonreciprocal element. It consists of a transmission-line segment whose characteristic impedance is equal to the port impedance and is sandwiched between two switches which are modulated using signals LO1 (t) and LO2 (t). The switches toggle between zero resistance (ON state) and infinite resistance ( OFF state) when their
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
3
modulation signals change between high and low values (1 and 0), respectively. The modulation signals LO1 (t) and LO2 (t) are 50% duty cycle square-wave signals with a period of Tm . The modulation signal of the right-hand side switch LO2 (t) is delayed with respect to that of the left-hand side switch LO1 (t) by Tm /4, which is also the propagation delay of the transmission line. The operation of this structure can be explained using the time-domain analysis. For signals traveling from left to right, any arbitrary signal incident at port 1 when LO1 (t) is high will be transmitted to port 2 in one pass, experiencing a delay of Tm /4, because the propagation delay of the transmission line is equal to the delay between the modulation signals. The signal incident at port 1 gets reflected when LO1 (t) is low. However, for signals traveling from right to left, the signal incident at port 2 when LO2 (t) is high will be transmitted to port 1 after three passes, experiencing a delay of 3Tm /4, because at the end of the first two passes, the signal sees an open termination due to an OFF-state switch and experiences a total reflection. When LO2 (t) is low, the signal incident at port 2 gets reflected. As a result of the additional reflections for incident signals from port 2 compared with port 1, the structure exhibits a different phase response for the signals traveling from left to right and right to left, making the structure nonreciprocal in phase. The behavior of the structure can be expressed in the time domain as 3Tm 3Tm − + + LO2 t − v 1 (t) = v 1 (t)(1 − LO1 (t)) + v 2 t − 4 4 (1) Tm Tm − + + LO1 t − +v 2 (t)(1 − LO2 (t)). (2) v 2 (t) = v 1 t − 4 4 In general, linear periodically time-varying (LPTV) circuits are represented using harmonic transfer functions, which capture frequency conversion effects [39], [40]. For simplicity, here, we only show the fundamental-to-fundamental S-parameter matrix by taking the Fourier transform of the time-domain equations ⎛ 1 − j ω 3Tm ⎞ 1 4 e ⎟ ⎜ 2 2 (3) S=⎝ ⎠. 1 − j ω Tm 1 4 e 2 2 One-fourth of the power incident into the port is transmitted to the other port, one-fourth of the power is reflected due to the fact that the switch is open for 50% of time, i.e., infinite impedance mismatch, and the remaining half is converted to other frequencies (i.e., intermodulation products) due to the switching action. Fig. 1(b) shows the fundamental-tofundamental S-parameters around 25 GHz for f m = 8.33 GHz. B. Balanced Configuration: Arbitrary Phase-Nonreciprocal Element
Fig. 2. Balanced arbitrary phase-nonreciprocal element (a) circuit diagram and operation, (b) scattering parameters for f m = 8.33 GHz, and (c) voltage spectrum at port 2, when the 1-V, 29.16-GHz signal is incident at port 1 of the single-ended phase nonreciprocal element modulated and the balanced gyrator at 8.33 GHz.
Harmonic conversion and the effect of infinite impedance mismatch of the single-ended phase-nonreciprocal element can be easily overcome by adding another parallel branch switched with complementary clocks LO1 (t) and LO2 (t), as shown in Fig. 2(a). The incident signal at port 1 (port 2) travels
through the first transmission line when LO1 (t) (LO2 (t)) is high, and the second when LO1 (t) (LO2 (t)) is low, making the structure completely matched with lossless transmission. The behavior of the structure can be expressed in the time
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
domain as
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
3Tm v 1− (t) = v 2+ t − 4 T m . v 2− (t) = v 1+ t − 4
(4) (5)
By taking Fourier transform of the time-domain equations, the S-parameter matrix can be calculated as
3Tm 0 e− j ω 4 S = − j ω Tm . (6) 4 e 0 Interestingly, in this balanced configuration, intermodulation products generated in the first path and the second path will have opposite phase and cancel each other. Therefore, while the structure is internally LPTV, at its ports, it features no frequency conversion and appears LTI. Fig. 2(c) shows the voltage spectrum at port 2 when port 1 of the balanced configuration and the single-ended phase nonreciprocal element (both modulated at 8.33 GHz) are excited with a 1-V, 29.16-GHz signal. For the single-ended structure, several intermodulation products are created, and magnitude at the signal frequency is 6 dB lower, which is consistent with (3). However, in the balanced configuration, due to cancellation from the first and second paths, no intermodulation products are generated, and the signal is transmitted without any transmission loss. At the odd multiples of the modulation frequency, ω = (2n + 1)ωm , where ωm = 2π/Tm and, n = 0, 1, 2, 3 . . ., the phases from left to right and right to left are −(2n +1)π/2 and −3(2n + 1)π/2, respectively. In this case, the structure behaves as a gyrator, providing a nonreciprocal phase equal to π [11]. Fig. 2(b) shows the S-parameters around a gyration frequency of 25 GHz for f m = 8.33 GHz. In addition to this, at any given input frequency, a value of the modulation frequency ωm can be chosen to realize a nonreciprocal phase difference of arbitrary value = π(ω/ωm ). Of course, reconfiguring the value of this nonreciprocal phase shift after implementation requires reconfiguring the transmission line so that its delay matches the modulation frequency change. While ideally lossless, in practice, the transmission loss will be limited by the quality factor and bandwidth of the transmission line and nonzero switch resistance. A limited bandwidth of the transmission line will allow transmission of only a limited number of harmonics and therefore imperfect reconstruction of the signal at the output port. The bandwidth of operation will be limited by the dispersion characteristics of the transmission line, switch parasitic capacitance, and rise/fall time of the modulation clocks. In the presence of a finite quality factor in the transmission lines, signals incident at port 2 experience more loss because they get transmitted after three passes when compared with the signals incident at port 1 which get transmitted after one pass. C. Doubly Balanced Configuration: Ultra-Broadband Gyrator Even though the balanced structure provides nonreciprocal phase response over an infinite bandwidth, it behaves as a gyrator only at discrete frequencies. In addition to this,
Fig. 3. Doubly balanced ultra-broadband gyrator (a) circuit diagram and operation and (b) scattering parameters for f m = 8.33 GHz.
in a practical implementation, the transmission loss in forward and reverse directions will be imbalanced. Another interesting variant is a doubly balanced nonreciprocal element, as shown in Fig. 3(a), which exploits differential signaling for both the modulation signal as well as the input signal. It consists of a differential transmission line, with a characteristic impedance equal to the differential port impedance, which is sandwiched between two doubly balanced switch sets (often called Gilbert-quad mixers). A Gilbert-quad switch set consists of four switches where two switches connect the input and output directly when the modulation signal is high and the other two switches (crisscrossed) swap the signal polarity when the modulation signal is low. The switch sets on the left and right of the transmission line are driven by the modulation signals LO1 (t) and LO2 (t), respectively. Similar to the balanced case, the modulation signal of the right-hand side switch LO2 (t) is delayed with respect to that of the left-hand side switch LO1 (t) by a value Tm /4, which is also the propagation delay of the transmission line. In this configuration, signals traveling from left to right, incident at port 1, get transmitted to port 2 without any sign inversion in the first half cycle and with two sign inversions that cancel each other in the second half cycle. On the other hand, signals traveling from right to left, incident at port 2, get
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
transmitted to port 1 with a sign inversion from the left-hand side mixer in the first half cycle and a sign inversion from the right-hand side mixer in the second half cycle. The behavior of the structure can be expressed in the time domain as shown in the following: Tm v 1− (t) = m(t) × v 2+ t − 4 Tm ×m t − 2 Tm + (7) = −v 2 t − 4 Tm Tm v 2− (t) = m t − × v 1+ t − 4 4 Tm ×m t − 4 T m + = v1 t − (8) 4 where m(t) is the multiplication factor due to the switching action of a quad-mixer, which is a square-wave signal between +1 and −1 with 50% duty cycle and period of Tm . By taking Fourier transform of the time-domain equations, the S-parameter matrix can be calculated as
Tm 0 −e− j ω 4 . (9) S= Tm +e− j ω 4 0 As expected, this doubly balanced structure also appears LTI externally while being internally LPTV. Most importantly, this structure describes an ideal gyrator over an infinite bandwidth. Fig. 3(b) shows the S-parameters around 25 GHz for f m = 8.33 GHz. Ideally, zero insertion loss and a perfect nonreciprocal phase shift of π are possible over infinite bandwidth at arbitrarily small sizes through appropriate increase of the modulation frequency. In practice, transmission losses will be limited by the quality factor and bandwidth of the transmission lines and nonzero switch resistance. The bandwidth of operation by the dispersion characteristics of the transmission line, switch parasitic capacitance, and rise/fall time of the modulation clock and the size by the practicalities of switching solid state switches at increasing modulation frequency and the associated power consumption. It should also be mentioned that in the presence of losses, this structure continues to behave as a perfect gyrator with symmetric losses because the phase nonreciprocity is achieved due to sign inversion as opposed to path length differences. D. Frequency-Domain Analysis of the Ultra-broadband Gyrator The ultra-broadband gyrator can be interpreted as a transmission line sandwiched between two mixers and can be analyzed in the frequency domain. The multiplication factors of the left-hand side mixer and the right-hand side mixer, m(t) and m(t − (Tm /4)), can be expressed in the frequency domain as shown in the following: ∞ 2 1 (e j (2n−1)ωm t −e− j (2n−1)ωm t ) (10) m(t) = jπ 2n −1 n=1
m(t −
5
∞ (2n−1)π 2 1 Tm )= (e− j 2 e j (2n−1)ωm t 4 jπ 2n −1 n=1
−ej
(2n−1)π 2
e− j (2n−1)ωm t ).
(11)
An input signal, e j ωt traveling from left to right, after passing through the left-hand side mixer, will be multiplied with the multiplication factor m(t), resulting in the following: v 1+ (t)
∞ 2 1 (e j (ω+(2n−1)ωm )t × m(t) = jπ 2n − 1 n=1
− e j (ω−(2n−1)ωm )t ). (12) From this equation, we can see that the transmission line supports signals at infinitely many intermodulation frequencies, and therefore, dispersion-free operation of the line is critical. As can be seen, the amplitude of higher order intermodulation products becomes progressively smaller, and therefore, dispersion at frequencies further away from the operation frequency will have a progressively smaller effect. A quantification of the impact of transmission-line dispersion is given in Section IV. This signal experiences a delay of Tm /4 through the transmission line at which point it can be expressed as shown in the following: Tm Tm ×m t − v 1+ t − 4 4 ∞
(2n−1)π 2 − j ω Tm 1 4 = e e− j 2 e j (ω+(2n−1)ωm )t jπ 2n −1 n=1 (2n−1)π − e j 2 e j (ω−(2n−1)ωm )t . (13) This gets multiplied with the multiplication factor of the right-hand side mixer, resulting in the output signal shown in the following: v 2− (t) =
∞ 2 − j ω Tm 1 − j (2n−1)π j (ω+(2n−1)ωm )t 4 2 e e e jπ 2n − 1 n=1
−e ⎡ ×⎣
j (2n−1)π 2
∞ 2 1 − j (2 p−1)π j (2 p−1)ωm t 2 e e jπ 2p − 1 p=1
−e j =
e
j (ω−(2n−1)ωm )t
(2 p−1)π 2
⎤ e− j (2 p−1)ωm t ⎦
∞ 1 −4 − j ω Tm 4 e (−e j (ω+(2n−1)ωm −(2n−1)ωm )t π2 (2n − 1)2 n=1
=
8 − j ω Tm j ωt 4 e e π2
=e
− j ω T4m
= e− j ω
Tm 4
e
∞ n=1
− e j (ω−(2n−1)ωm +(2n−1)ωm )t ) 1 (2n − 1)2
j ωt
v 1+ (t).
(14)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
This agrees with the result from the time-domain analysis in (8). At frequencies other than the frequency of the input signal, due to cancellation of the intermodulation products, the amplitude of the signal becomes zero, making the structure to appear externally LTI, while being internally LPTV, as mentioned earlier. However, in a practical implementation, the degree of cancellation of the intermodulation products will be limited by the nonidealities, such as dispersion and frequency-dependent quality factor of the transmission lines. For a signal traveling from right to left, the result in (7) can be obtained in the frequency domain as well by following a similar approach. III. A MPLITUDE N ONRECIPROCITY: I SOLATORS AND C IRCULATORS So far, we have discussed various topologies of spatiotemporal conductivity modulation that realize phase nonreciprocity. In this section, we discuss topologies and circuits exhibiting amplitude nonreciprocity, such as isolators and circulators. A. Frequency-Conversion Isolator An isolator is a two-port nonreciprocal component that supports transmission in one direction but not in the reverse direction. A frequency-conversion isolator can be realized from the doubly balanced phase-nonreciprocal element by modifying the delay between the modulation signals of the left-hand side Gilbert-quad switch set LO1 (t) and right-hand side switch set LO2 (t) to a value Tm /8, which is also the modified propagation delay of the differential transmission line between the switch sets, as shown in Fig. 4. A signal traveling from left to right, incident differentially at port 1, transmits to port 2 with no sign inversion in the first half of the modulation cycle and two inversions in the second half of the cycle, similar to the doubly balanced phase-nonreciprocal element. A signal traveling from right to left, incident differentially at port 2, will be transmitted without sign inversion during the first and third quarter cycles. However, during the second and fourth quarter cycles, the signal will be transmitted with a sign inversion from the left and right Gilbert-quad switch sets, respectively, as shown in Fig. 4. Equivalently, the signal traveling from right to left will be multiplied with a +1/ − 1 square wave of 50% duty cycle and 2ωm angular frequency and gets upconverted/downconverted to other frequencies (i.e., intermodulation products), leading to an isolation at the input frequency. The operation of this structure can be expressed in the time domain as Tm Tm − + v 1 (t) = m t − × v2 t − × m(t) (15) 4 8 Tm Tm Tm × v 1+ t − ×m t − v 2− (t) = m t − 8 8 8 T m = v 1+ t − . (16) 8 The fundamental-to-fundamental S-parameter matrix is obtained by taking Fourier transform of the time-domain
Fig. 4. Frequency-conversion isolator (a) circuit diagram and operation and (b) fundamental-to-fundamental scattering parameters for f m = 8.33 GHz.
equations
S=
0
+e− j ω
Tm 8
0 . 0
(17)
From (17), it can be seen that the power incident at port 2 at any input frequency gets translated to other frequencies, while the power incident at port 1 is transmitted losslessly, making it a frequency-conversion isolator that can operate over an infinitely wide range of operating frequencies. It should be emphasized, however, that this configuration has limitations when it comes to instantaneously wideband signals at port 2. For signals with bandwidth greater 2ωm , the frequencytranslated signals will fall within the desired signal’s bandwidth, compromising the isolation from port 2 to port 1. Fig. 4(b) shows the fundamental-to-fundamental S-parameters around 25 GHz for f m = 8.33 GHz.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
Fig. 5. Ultra-broadband dissipative isolator (a) circuit diagram and operation and (b) scattering parameters for the case with f m = 8.33 GHz.
B. Ultra-broadband Dissipative Isolator Isolation through frequency conversion is often undesirable, as isolators are typically used to protect sources and amplifiers from back reflections. In such situations, it is desirable for signals incident in the reverse direction to be dissipated within the isolator. Indeed, such perfect isolation and matching cannot be realized without the presence of loss in the system [41]. An ultra-broadband dissipative isolator with no frequency conversion can be realized by modifying the balanced phase-nonreciprocal element by adding a pair of parallel switches with a terminating resistor, of value equal to the port impedance, at the left-hand side switches. The new pair of switches is modulated using the complementary clocks of the left-hand side switches, as shown in Fig. 5. For signals traveling from left to right, this structure behaves exactly like the balanced phase-nonreciprocal element, imparting a delay of Tm /4. However, for signals traveling from right to left, after the first pass, instead of reflecting, the signals travel through the newly added switches and get dissipated in the terminating
7
Fig. 6. Ultra-broadband circulator (a) circuit diagram and (b) scattering parameters for f m = 8.33 GHz.
resistor. The operation of the structure can be expressed in the time domain as v 1− (t) = 0
Tm − + v 2 (t) = v 1 t − . 4
(18) (19)
By taking Fourier transform of the time-domain equations, the S-parameter matrix can be calculated as
0 0 S= . (20) Tm +e− j ω 4 0 Theoretically, this structure appears externally LTI, exhibits lossless transmission in the forward direction and perfect isolation in the reverse direction, and has infinite bandwidth [see Fig. 5(b)]. In practice, the loss and the bandwidth are limited by the quality factor of transmission line, nonzero switch ON-resistance, dispersion of the transmission line, switch parasitic capacitance, and nonidealities of the modulation clocks, similar to the phase-nonreciprocal elements.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
C. Ultra-Broadband Circulator The ultra-broadband dissipative isolator is actually a special case of an ultra-broadband circulator whose third port has been terminated to the reference impedance. In other words, a circulator with infinite bandwidth can be realized by replacing the terminating resistor in the ultra-broadband isolator by a third port, as shown in Fig. 6. For such a circulator, it can be shown using the time-domain analysis that lossless transmission happens from port 1 to port 2, port 2 to port 3, and port 3 to port 1, and there will be a perfect isolation in the reverse circulation direction. The S-parameter matrix for this ultra-broadband circulator can be constructed as ⎛ 2Tm ⎞ 0 0 e− j ω 4 Tm ⎟ ⎜ (21) S = ⎝e− j ω 4 0 0 ⎠. 0
e− j ω
Tm 4
0
As opposed to three switches in the signal path as in [33], this structure has only two switches, enabling it to potentially have superior insertion loss performance. In addition, this structure needs only two transmission lines as opposed to six transmission lines in [33], making it more compact and readily implementable at RF frequencies. It is closely related to the four-port circulator recently described in [34]. Such an ultra-broadband circulator has a wide range of practical applications, such as STAR: 1) impulse-based communication and radar systems and 2) widely tunable software-defined radio applications. D. High-Linearity Circulator The ultra-broadband circulator features switches in the signal path, which would limit the power handling of the circulator, particularly in the TX-to-ANT path. Recently, we demonstrated a circulator architecture with high power handling by wrapping a 3λ/4 transmission line with a characteristic impedance equal to the port impedance around the gyrator component with ±90◦ nonreciprocal phase and placing ports λ/4 apart on the 3λ/4 transmission line [30]–[32] (see Fig. 7). For such a circulator, the S-parameters at the center frequency can be computed as ⎛ ⎞ 0 0 −1 0 0 ⎠. S = ⎝− j (22) 0 −j 0 The power handling at port 1 of the circulator (TX port) can be improved significantly by placing the third port at the gyrator element (l = 0 in Fig. 7), as the isolation from port 1 to port 3 suppresses the voltage swing across the gyrator [30]. In [30], a 750-MHz circulator was demonstrated in a 65-nm CMOS using the N-path-filter-based gyrator discussed earlier. In [31] and [32], a differential circulator was realized at 25 GHz in the 45-nm SOI CMOS using the doubly balanced transmission-line based gyrator. In general, both single-ended and differential circulators can be realized using the balanced and doubly balanced gyrator configurations [see Fig. 7(a) and (b)]. The balanced configuration achieves gyrator functionality at ω = (2n + 1)ωm . The doubly balanced configuration achieves a nonreciprocal phase of π
Fig. 7. Highly linear circulators. (a) Single-ended configuration leveraging the balanced gyrator. (b) Differential configuration leveraging the doubly balanced gyrator. (c) Internal node voltage swings of both configurations when l = 0 for 1-V TX port voltage at 25 GHz.
across infinite bandwidth, but the need for ±90◦ phase once again dictates ω = (2n + 1)ωm . In the 25-GHz circulator in [31] and [32], the modulation frequency was one-third of the operating frequency, which critically enabled scaling of the circulator to millimeter waves. An important point of difference is that the internal gyrator nodes also experience suppressed voltage swing for TX-port excitations in the differential configuration, due to the fact that a pair of switches is always ON, shorting the internal nodes to the external nodes [see Fig. 7(c)]. This, however, is not the case for the singleended circulator configuration that uses the balanced gyrator, limiting the TX power handling enhancement. This can be
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
9
restored by adding a pair of parallel switches to ground at both the left-hand side and right-hand side switches. The new pair of switches would be modulated using the complementary clocks of the original switches, making the nodes SBn2 and SBn3 quiet. However, due to the additional switches, the power consumption of this single-ended circulator would become equal to that of the differential circulator, and so it is beneficial to implement the differential configuration owing to its benefits of lower clock feedthrough and +3-dB higher power handling. Due to their high linearity, compact reliability, and CMOS integration, these circulators may find application in RF wireless communication systems enabling fully integrable FD solutions [8]–[10]. Integrable millimeter-wave circulators can be used in building relay/repeaters to extend the link range without compromising the channel capacity and can also be utilized in realizing integrated millimeter-wave FMCW radars. E. Isolation Bandwidth of the High-Linearity Circulator Isolation from port 1 to port 3 is due to destructive interference of signals from λ/2 reciprocal path and the λ/4 transmission line and gyrator path. Perfect isolation occurs at the center frequency where the signals from both paths are exactly out of phase. For frequencies’ off center, the condition of destructive interference starts to fail, and isolation will be limited to a finite value. Hence, the isolation bandwidth is dependent on the phase response of the 3λ/4 ring and the nonreciprocal phase response of the gyrator. The doubly balanced gyrator exhibits a nonreciprocal phase response of 180◦ over theoretically infinite bandwidth, while the balanced phasenonreciprocal element behaves as a gyrator only at discrete frequencies, as discussed earlier. TX–RX isolation of the high-linearity circulator can be derived as shown in the following: D × K1 − B × K2 S31 (ω) = S21,λ/4 × S21,gyr × AD − BC 1 A × K2 − C × K1 − × S21,λ/4 × S12,gyr AD − BC
Fig. 8. (a) Port 1–Port 3 isolation of the differential circulator with ωo /ωm = 3 featuring the doubly balanced gyrator and the single-ended circulator with ωo /ωm = 3 featuring the balanced gyrator, shown in Fig. 7(a) and (b). (b) Port 1–Port 3 isolation of the differential circulator featuring the doubly balanced gyrator for various ωo /ωm values.
where 2 A = 6S21,λ/4 × S12,gyr − S21,λ/4 2 ×S12,gyr × S21,gyr × S21,λ/4 − 1 2 B = 2S21,λ/4 × S12,gyr + S21,λ/4 +3 2 × S12,gyr × S21,gyr C = S21,λ/4 2 3 × S21,λ/4 + 3 + 2S21,λ/4 × S12,gyr 2 3 D = (9 − S21,λ/4 ) − 2S21,λ/4 × S12,gyr 3 K 1 = 4S21,λ/4 × S12,gyr , K 2 = 4S21,λ/4 × S12,gyr
(23)
where S21,λ/4 is the transmission of the λ/4 transmission line, S21,gyr is the forward transmission, S21 , of the gyrator, and S12,gyr is the reverse transmission, S12 , of the gyrator. From (6), S21,gyr = e− j (π/2)(ω/ωm ) and S12,gyr = e− j (3π/2)(ωωm ) for the balanced gyrator. From (9), S21,gyr = e− j (π/2)(ω/ωm ) and S12,gyr = −e− j (π/2)(ω/ωm ) for the doubly balanced gyrator. As the frequency shifts from the center ((2n + 1)ωm ), S12,gyr of the balanced gyrator shifts by a
greater value due to the three times factor in the phase. This larger deviation results in a narrower isolation bandwidth when compared with the circulator built using the doubly balanced gyrator. Hence, as shown in Fig. 8(a), the 20-dB isolation bandwidth of the differential circulator featuring the doubly balanced gyrator is 2.34 times higher than that of the singleended circulator featuring the balanced gyrator. In addition to the choice of a nonreciprocal element, the choice of modulation frequency also affects the TX–RX isolation bandwidth. At a given offset frequency (ωo + ω), reducing the modulation frequency results in larger deviation in S21,gyr and S12,gyr from their optimum value for infinite isolation. This larger deviation results in narrower isolation bandwidth. For instance, as shown in Fig. 8(b), the isolation bandwidth of a differential circulator featuring a doubly balanced gyrator decreases when the modulation frequency is decreased. Isolation bandwidth in the presence of finite quality factor of the transmission lines can be
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 9. (a) Equivalent circuit for loss estimation from port 1 to port 2. (b) Equivalent circuit for loss estimation from port 2 to port 3.
easily calculated by modifying S21,λ/4, S21,gyr , and S12,gyr . For a single-ended circulator built using transmission lines of quality factor Q, S21,λ/4 = e−((1/2Q)+ j )(π/2)(ω/ωo) , = e−((1/2Q)+ j )(π/2)(ω/ωm ) , and S12,gyr = S21,gyr −((1/2Q)+ j )(3π/2)(ω/ω ) m . Similarly, for a differential circulator e built using transmission lines of quality factor Q, S21,λ/4 = e−((1/2Q)+ j )(π/2)(ω/ωo) , S21,gyr = e−((1/2Q)+ j )(π/2)(ω/ωm ) , and S12,gyr = −e−((1/2Q)+ j )(π/2)(ω/ωm ) . IV. A NALYSIS OF I NSERTION L OSS BASED ON VARIOUS N ONIDEALITIES In this section, we derive formulas for estimating the transmission losses of the highly linear circulator architecture taking various nonidealities into account using perturbation analysis. During implementation, transmission lines of length comparable to the wavelength are often miniaturized using lumped L–C components to reduce the chip area and to absorb the parasitic capacitances of the switches into the transmission lines. Hence, in our analysis, it is assumed that the λ/4 transmission lines sections in the 3λ/4 ring were miniaturized using C–L–C sections. Various nonidealities, such as quality factor of the transmission lines/inductors, nonzero switch resistance of the switches in the gyrator element, rise/fall time of the modulation signals, and the dispersion of the transmission line in the gyrator, limit the insertion loss of the circulator. In this derivation, it has been assumed that the effect of the nonidealities is small, so that they do not degrade the matching of the gyrator element and the isolation of the circulator substantially. The effect of each nonideality in the circulator is separately computed using perturbation analysis, and the
Fig. 10. (a) Equivalent circuit for a gyrator with nonzero switch resistance. (b) Equivalent circuit for loss estimation from port 1 to port 2 of the circulator with nonzero switch resistance. (c) Equivalent circuit for loss estimation from port 2 to port 3 of the circulator with nonzero switch resistance.
total loss of the circulator is estimated by summing up the individual contributions. While estimating the loss from port 1 to port 2 in the circulator, port 3 can be shorted to ground due to the port 1-to-port 3 isolation. As a result, the λ/4 section between port 2 and port 3 will transform the short circuit to an open circuit when seen from port 2 as will the λ/4 section between port 1 and the gyrator when seen from port 1. Hence, the circulator reduces to a λ/4 transmission line connecting ports 1 and 2, as shown in Fig. 9(a). A similar equivalent circuit for port 2-to-port 3 transmission is shown in Fig. 9(b).
A. Effect of Nonzero Switch Resistance Rsw A switch with nonzero ON-resistance Rsw can be modeled by an ideal switch with a series resistance Rsw . Hence, a gyrator with nonzero switch resistance can be modeled in the manner shown in Fig. 10(a). As before, port 3 of the circulator can be shorted to ground when estimating the loss from port 1 to port 2, as shown in Fig. 10(b). When one of its ports is shorted to ground, the input impedance of the gyrator shown in Fig. 10(a) at the other port is 2Rsw . This 2Rsw resistance will be transformed to Z 02 /2Rsw at port 1, as shown in Fig. 10(b). Consequently, the transmission loss
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
Fig. 11. Equivalent circuits for loss estimation of the circulator with finite quality factor Q 1 in inductor L 1 . (a) Port 1 to port 2. (b) Port 2 to port 3.
from port 1 to port 2 can be written as |S21 | ≈
Z0 Q sw = Z 0 + Rsw Q sw + 1
11
Fig. 12. (a) Equivalent circuit for loss estimation from port 1 to port 2 of the circulator with inductor L 2 of finite quality factor Q 2 . (b) Equivalent circuit for loss estimation from port 2 to port 3 of the circulator with inductor L 2 of finite quality factor Q 2 .
(24)
where Q sw , the equivalent quality factor of the switch, is given by Z 0 /Rsw . Similarly, port 1 can be shorted to ground while estimating transmission loss from port 2 to port 3. Using similar arguments, the circulator can be reduced to the circuit shown in Fig. 10(c). Interestingly, the nonzero switch resistance will have no effect on the transmission loss from port 2 to port 3 because the switch resistance is in series with an open termination |S32 | ≈ 1.
(25)
Fig. 13.
Filtering due to finite rise/fall time of the modulation signals.
B. Effect of the Quality Factor Q 1 of Inductor L 1
D. Effect of the Quality Factor Q 3 of Inductor L 3
Similar arguments can be made for the quality factor Q 1 of inductor L 1 . Fig. 11(a) and (b) shows the equivalent circuits for S21 and S32 loss estimation. We have assumed that the inductor losses are purely in the form of series resistance in writing the equivalent parallel resistance as ωL 1 Q 1 = Q 1 Z 0 . Furthermore, we have assumed that the capacitors used to lump the transmission line are lossless. By analyzing these circuits, we can write the transmission losses as
1 − j Q1 Q1 (26) |≈ |S21 | ≈ | j 1 Q1 + 1 1+ +
Similarly, the circulator with finite quality factor Q 3 in inductor L 3 can be reduced to a C–L–C section with a shunt resistor Q 3 Z 0 at port 1 while estimating loss from port 1 to port 2 and a C–L–C section with a shunt resistor Q 3 Z 0 at port 3 while estimating loss from port 2 to port 3. By analyzing these circuits, we can write the transmission losses as 2Q 3 (30) |S21 | ≈ 2Q 3 + 1 2Q 3 |S32 | ≈ . (31) 2Q 3 + 1
Q1
Q1
2Q 1 |S32 | ≈ . 2Q 1 + 1
(27)
C. Effect of the Quality Factor Q 2 of Inductor L 2 Making similar arguments, the equivalent circuits in Fig. 12 enable loss estimation in the presence of finite quality factor Q 2 in inductor L 2 . By analyzing these circuits, we can write the transmission losses as 2Q 2 (28) |S21 | ≈ 2Q 2 + 1
1 Q2 − j Q2 |S32 | ≈ | . (29) |≈ Q2 + 1 1+ 1 + j Q2
Q2
E. Effect of the Rise/Fall Time of the Modulation Signal In Section II-D, we have presented a frequency domain of the doubly balanced ultra-broadband gyrator with the switch sets viewed as mixers. We considered the modulation signals to be perfect square waves with negligible rise/fall time, but in a practical implementation, the rise/fall time (tr /t f ) can be a significant portion of the time period, particularly for high-frequency operation. For a trapezoidal modulation signal with rise/fall time of tr = t f , the frequency content of the modulation signal will be limited to a cutoff frequency of (0.35/tr ) Hz. This will correspondingly establish an upper limit on the frequency content of the multiplication factor m(t) as shown in Fig. 13. The value of this cutoff frequency can be obtained from simulations. The effect of this cutoff frequency
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
in the multiplication factor is a limit to the intermodulation products that are generated and travel down the line, as shown in Fig. 13, resulting in the loss of signal power. In our analysis, the filtering profile of this effect is assumed to be a brick wall, and mathematically, this can be expressed as a truncation in the higher frequency terms in (10)–(14). For the example shown in Fig. 13, where the cutoff frequency is between 3ωm and 5ωm , (14) can be modified as v 2− (t) −4 − j ω Tm 4 = 2e (−e j (ω+ωm −ωm )t − e j (ω−ωm +ωm )t ) π 1 + 2 (−e j (ω+3ωm −3ωm )t − e j (ω−3ωm +3ωm )t ) 3 80 − j ω Tm j ωt 4 e e = 9π 2 80 − j ω Tm + 4 v (t) = e 1 9π 2 Tm − jω 4 + v 1 (t). (32) ≈ 0.9e Similarly, for the signal traveling from right to left, the signal transmitted can be written as v 1− (t) ≈ −0.9e− j ω
Tm 4
v 1+ (t).
(33)
Assuming that the matching of the gyrator has not degraded due to the finite rise and fall time, the S-parameter matrix of the gyrator at the center frequency can be written as π 0 krise/falle j 2 (34) S= π krise/falle− j 2 0 where krise/fall is the attenuation factor due to the filtering of higher intermodulation products. For the example shown in Fig. 13, krise/fall ≈ 0.9. Using the perturbation analysis discussed before, the S-parameters of the circulator can be expressed as Q rise/fall Q rise/fall + 1 Q rise/fall |S32 | ≈ Q rise/fall + 1
|S21 | ≈
(35) (36)
where Q rise/fall is an effective quality factor associated with the filtering due to finite rise/fall time of the modulation signals 2 2 )/(1 − krise/fall ). and is given by 2(1 + krise/fall F. Effect of the Bragg Frequency of the Gyrator Transmission Line From the frequency-domain analysis, it is clear that the transmission line(s) between the switches must be able to support all the intermodulation frequencies with the same group delay for a perfect lossless gyrator. If the transmission lines are miniaturized through a quasi-distributed implementation consisting of periodic lumped-LC sections, then the lines will have a cutoff frequency, after which the periodic structure no longer supports a traveling wave. This cutoff frequency is known as the Bragg frequency. Intermodulation products above the Bragg frequency will be filtered, and the signal power associated with them will be lost as shown
Fig. 14. Filtering of the intermodulation products higher than the Bragg Frequency.
in Fig. 14. Similar to the effect of finite rise and fall time of the modulation signal, the filtering profile due to Bragg frequency is also assumed to be a brick wall. All the intermodulation terms with frequency above the Bragg frequency should be truncated from (14), and an associated attenuation factor kBragg can be calculated. Assuming that the matching of the gyrator has not degraded due to Bragg frequency effects, the S-parameter matrix of the gyrator at the center frequency can be written as π 0 kBragg e j 2 . (37) S= π kBragg e− j 2 0 From a similar perturbation analysis, the S-parameters of the circulator can be expressed as Q Bragg Q Bragg + 1 Q Bragg |S32 | ≈ Q Bragg + 1 |S21 | ≈
(38) (39)
where Q Bragg is an effective quality factor associated with the 2 2 Bragg effect and is given by 2(1 + kBragg )/(1 − kBragg ). Both finite rise/fall time and finite Bragg frequency result in suppressing the higher frequency content of the intermodulation products. Hence, one has to be careful while truncating the terms in (14) because the minimum of these cutoff frequencies will define the actual cutoff frequency for the intermodulation products. In the final loss estimation, to avoid the repetition of the loss due to filtering of higher modulation products, a general attenuation factor kfilter can be calculated by truncating all the terms that are required to be truncated from Bragg frequency effects and finite rise/fall time effects. In general, for circulators operating at high frequencies, finite rise/fall time effects will dominate, while for circulators operating at lower frequencies, the need to aggressively miniaturize the transmission lines will cause Bragg frequency effects to dominate. For instance, in the 25-GHz 45-nm SOI CMOS circulator case study presented later in this paper, truncation was limited by the rise/fall time of the modulation signal, making kfilter = krise/fall. G. Effect of the Quality Factor Q N R of the Gyrator Transmission Line Due to ohmic losses, the signal attenuates exponentially as it travels through the transmission line in the gyrator, creating
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
another loss mechanism. As mentioned earlier, all intermodulation products travel through the transmission line, and the attenuation suffered by each intermodulation product is different because the attenuation constant depends on frequency. The attenuation suffered by a signal at a frequency ω as it travels through the transmission line with a delay of Tm /4 is e−(π/4Q)(ω/ωm ) , where Q is the quality factor √ of the transmission line at ω. Due to the skin effect, ρ ∝ ω, where ρ is the resistivity of the metal. A general attenuation factor can be written by taking the skin effect of the metal into con1/2 sideration as e−((2n+1)π)/(4Q NR )(ω/((2n+1)ωm )) , where Q NR is the quality factor of the transmission line at a frequency ω = (2n + 1)ωm . By modifying (13), the signal after traveling through the transmission line can be expressed as shown in the following: Tm Tm ) × m(t − ) 4 4 ∞ 2 − j ω Tm 1 4 e = jπ 2p − 1 p=1
ω+(2 p−1)ωm − (2n+1)π − j (2 p−1)π 4Q (2n+1)ωm e j (ω+(2 p−1)ωm )t 2 NR e × e
v 1+ (t −
−e
− (2n+1)π j (2 p−1)π 4Q NR 2
e
Tm 4
e j ωt ×
e j (ω−(2 p−1)ωm )t . (40)
|ω−(2 p−1)ωm | (2n+1)ωm
∞ 4 1 2 π (2 p − 1)2 p=1
(2n+1)π ω+(2 p−1)ωm p−1)ωm | − − (2n+1)π |ω−(2 (2n+1)ωm (2n+1)ωm × e 4QNR + e 4QNR
=e
− j ω T4m
e
=e
− j ω T4m
v 1+ (t)k Q NR21
j ωt
center frequency can be written as
π 0 k Q NR12 e j 2 . S= π k Q NR21 e− j 2 0
(42)
From the perturbation analysis, the S-parameters of the circulator can be expressed as Q NReffec Q NReffec + 1 Q NReffec |S32 | ≈ Q NReffec + 1 |S21 | ≈
(43) (44)
where Q NReffec is the effective quality factor associated with the loss of the transmission line in the gyrator and is given by 2((1 + k Q NR12 k Q NR21 )/(1 − k Q NR12 k Q NR21 )). H. Estimating Transmission Losses of the Circulator
This signal gets multiplied with the multiplication factor of the right-hand side mixer. Similar to (14), the signal voltage at the input frequency ω at the other port of the gyrator can be written as shown in the following: v 2− (t) = e− j ω
13
k Q NR21 (41)
where k Q NR21 is the attenuation factor for signals traveling from left to right due to the finite quality factor of the transmission line in the gyrator. For a more accurate expression that includes the effects due to the finite Bragg frequency of the line and finite rise and fall times in the modulation signals, the higher intermodulation terms in (41) should be truncated, and the obtained (k Q NR21 )truncated value should be divided by kfilter , i.e., k Q NR21 = (k Q NR21 )truncated /kfilter , so that k Q NR21 isolates the effect of the transmission lines’ finite quality factor. Similarly, k Q NR12 can be calculated for the signals traveling from right to left. For the balanced gyrator, k Q NR12 and k Q NR21 will be different because the signals follow a different path for forward and reverse directions, and the attenuation factor depends on the length traversed. However, for the doubly balanced gyrator, these factors will be equal, since the phase nonreciprocity is achieved due to sign inversion from the quad-mixer, rather than path length differences. Assuming that the matching of the gyrator has not degraded due to the quality factor of the transmission line, the S-parameter matrix of the gyrator at the
Under the assumption that all the nonidealities are small, the losses due to the individual effects can be added when estimating the final loss of the circulator. Hence, the transmission S-parameters of the circulator can be expressed as 2Q 2 2Q 3 Q filter Q1 |S21 | ≈ Q1 + 1 2Q 2 + 1 2Q 3 + 1 Q filter + 1 Q NReffec Q sw × (45) Q NReffec + 1 Q sw + 1 Q2 2Q 3 2Q 1 |S32 | ≈ 2Q 1 + 1 Q2 + 1 2Q 3 + 1 Q NReffec Q filter . (46) × Q filter + 1 Q NReffec + 1 I. Effect of Timing Errors in the Modulation Clocks In addition to parasitic losses, timing errors in the modulation clocks can also result in an additional insertion loss, especially when operating at millimeter-wave frequencies. One such timing error is when the delay of the transmission line in the gyrator or the delay between the left-hand side and righthand side modulation signals deviates from Tm /4. Duty-cycle impairment in the modulation clock is another imperfection which can result in additional insertion loss. Interested readers are directed to [42] for a detailed analysis on the effect of timing errors. V. D ISCRETE -C OMPONENT-BASED C ASE S TUDIES A. Balanced Arbitrary-Phase Nonreciprocal Element A balanced arbitrary-phase nonreciprocal element has been implemented using coaxial cables and commercially available off-the-shelf switches as shown in Fig. 15. It consists of two 10 m long, 50- coaxial cables with a time delay of 40.5 ns and an insertion loss of 1 dB. These cables are sandwiched between two single-pole double-throw switches, which are modulated at 6.17 MHz. In practice, open-reflective switches with fast switching time are not commercially available. Alternatively, we used short-reflective switches from minicircuits (model number ZFSW-2-46) with a fast switching time of 2 ns, to minimize the insertion loss due to rise/fall time
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 15. Photograph of the balanced arbitrary-phase nonreciprocal element assembly.
as discussed in Section IV. Using a short-reflective switch does not change the principle of operation of the structure. Signals traveling in the forward direction do not see the short termination. However, signals traveling in the reverse direction experience two reflections from a short termination ( = −1) instead of two reflections from an open termination ( = 1). The two additional negative signs cancel each other and result in an output signal that is equal to the open-reflective switch case. At 10 MHz, the switch has an insertion loss of 0.5 dB in the ON state and a reflection coefficient of 0.71 178◦ in the OFF state. The modulation signals are generated using the arbitrary waveform generators (model: Agilent 33500B) and have a rise/fall time of 8.5 ns. The measured S-parameters between 0 and 50 MHz are shown in Fig. 16. A nonreciprocal phase of 180◦ has been observed at 6.043, 18.11, and 30.2 MHz. This shift in the gyration frequency is due to the reflection coefficient of the OFF-state switch, which is 178◦ instead of 180◦. Since the reverse traveling wave is reflected twice, a total of −4◦ phase shift is picked up by the signal. Due to this additional phase shift, the structure behaves as a gyrator at slightly lower frequencies, a similar effect to the observation in [35]. At 10 MHz, the transmission losses in the forward and reverse directions are 3.8 and 17 dB, respectively. The transmission losses in the reverse direction are higher due to the additional loss mechanisms, including three times loss of the transmission line due to reflections, nonunity reflection coefficient of the reflective-short switch in its OFF state (S11 = −3 dB instead of 0 dB), and destructive interference between the leaked signal to port 1 during OFF state (due to imperfect clocking which is limited by the time resolution of the arbitrary waveform generator) and the actual signal. The accuracy of synchronization between the modulation signals is limited by the arbitrary waveform generator used and has a time resolution of 4 ns. Based on the loss mechanisms discussed earlier, the signal traveling in the forward direction experiences a transmission loss of 1 dB due to the cable loss, 1 dB from the switches, and 0.7 dB due to the finite rise/fall time and some additional loss due to timing errors. In practice, finite time resolution of the modulation signals can produce overlap between complementary clocks, which affect the duty cycle and delay between the clocks. For simplicity, let us consider the only timing
Fig. 16. Measured S-parameters of the balanced arbitrary-phase nonreciprocal element. (a) Magnitude. (b) Phase.
error present to be the delay between LO1 (t) and LO2 (t) with an error e of 4 ns. From [42, eq. (5)], this results in an additional transmission loss of 0.9 dB. On whole, the estimated transmission loss in the forward direction is ≈3.6 dB. Similarly, the transmission in the reverse direction can be calculated. From our estimation, the signal incident at port 2 gets transmitted to port 1 after one pass and three passes with transmission losses of 22.7 and 11.6 dB, respectively. These signals add destructively, resulting in the estimated reverse transmission loss of −14.4 dB. B. Ultra-Broadband Dissipative Isolator An ultra-broadband dissipative isolator has been implemented by replacing the left-side switch (SW-1) in the balanced configuration setup with a commercially available absorptive switch from minicircuits (model number: ZFSWA-2-46). The measured S-parameters between 0 and 50 MHz are shown in Fig. 17. The transmission loss in the forward direction ranges from 3.2 to 5.6 dB
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
15
Fig. 18. Circuit diagram of the implemented 25-GHz doubly balanced circulator.
Fig. 17. Measured S-parameters of the ultra-broadband dissipative isolator. (a) Magnitude. (b) Phase.
over the frequency range from dc to 50 MHz. At 10 MHz, the transmission loss of 3.5 dB has been measured. The isolation in the reverse direction is ≈40 dB over the entire frequency range. VI. 25-GHz 45-nm SOI CMOS C IRCULATOR C ASE S TUDY A. Implementation Details A differential circulator based on the doubly balanced gyrator was implemented at 25 GHz in the 45-nm SOI CMOS, as shown in Fig. 18. Aside from achieving superior isolation bandwidth due to the use of the doubly balanced gyrator, the fully differential architecture reduces LO feedthrough and enables 3-dB higher power handling at the expense of a doubling in the power consumption. The quarter-wave sections between ports 1 and 2 and 2 and 3 were implemented using differential conductor-backed coplanar waveguides with Q of 15 at 25 GHz. The gyrator element was placed symmetrically between port 1 and port 3 so that the parasitic capacitances from the mixer switches could be absorbed into
the quasi-distributed transmission line in the gyrator and the λ/8 C–L–C sections on either side. The transmission line in the gyrator is a combination of four π-type C–L–C sections, with inductor Q of 20, and coplanar waveguides, with Q of 15, connecting the C–L–C sections. The overall quality factor and Bragg frequency of the transmission line are 17 and 83.9 GHz, respectively. The Bragg frequency was improved by a factor of two because only a part of the quarterperiod delay required was obtained from the four C–L–C sections, with the rest obtained from the coplanar waveguides used for interconnects. An extra quadrature phase path was added in the gyrator element to counter the loss degradation due to duty-cycle impairments. The reader is directed to [42] for additional details. The Gilbert quad-mixers are designed using 2 × 16 μm/40 nm floating body transistors, achieving Rsw of 8.66 . The placement of the gyrator symmetrically between port 1 and port 3 distributes the loss due to nonzero switch ON-resistance equally between S21 and S32 , as opposed to (24) and (25). The new transmission losses due to nonzero ON -resistance can be expressed as 2Q sw 2Q sw + 1 2Q sw |S32 | ≈ 2Q sw + 1 |S21 | ≈
(47) (48)
modifying the circulator transmission losses in (45) and (46) to 2Q 2 2Q 3 Q filter Q1 |S21 | ≈ Q1 + 1 2Q 2 + 1 2Q 3 + 1 Q filter + 1 2Q sw Q NReffec (49) × Q NReffec + 1 2Q sw + 1 2Q 1 Q2 2Q 3 Q filter |S32 | ≈ 2Q 1 + 1 Q2 + 1 2Q 3 + 1 Q filter + 1 Q NReffec 2Q sw × . (50) Q NReffec + 1 2Q sw + 1 Fig. 19 shows the circuit diagram of the 8.33-GHz clock path. A two-stage poly-phase filter is used to generate the 8.33-GHz quadrature signals driving the mixer switches. After the poly-phase filter, a three-stage self-biased CMOS buffer chain with inductive peaking in the final stage generates the square-wave clock signals with a rise/fall time of 7.5 ps for
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 20.
Fig. 19.
Calculated transmission losses S21 and S32 .
Circuit diagram of the quadrature modulation signal generation.
the switches. Independently controlled nMOS varactors at the differential LO inputs provide I/Q calibration of range ±10◦. Interested readers can refer to [42] for more comprehensive implementation details. B. Comparison Between Theory and Simulations For this 25-GHz circulator, as mentioned earlier, Q 1 and Q 2 at 25 GHz are 15 each as the λ/4 sections were implemented using coplanar waveguides, and Q 3 at 25 GHz is 20 as it was implemented using C–L–C sections. Q sw is 5.77 as the single-ended port impedance is 50 and Rsw is 8.66 . The quality factor of the delay line in the gyrator, Q NR at 25 GHz, is 17. The Bragg frequency is 76 GHz, and the bandwidth of the modulation signal 0.35/tr is 46.66 GHz. Hence, the modulation signals are limited to their fifth harmonics, as the intermodulation terms are formed. kfilter can therefore be calculated as shown in the following: − j ωt −4 kfilter e = 2 (−e j (ω+ωm −ωm )t − e j (ω−ωm +ωm )t ) π 1 + 2 (−e j (ω+3ωm −3ωm )t − e j (ω−3ωm +3ωm )t ) 3 1 j (ω+5ωm −5ωm )t j (ω−5ωm +5ωm )t −e ) + 2 (−e 5 = 0.933. (51) From (51), Q filter can be calculated to be 28.9. Similarly, (k Q NR )truncated can be calculated as shown in the following: (k Q NR )truncated e− j ωt ω+ωm −4 − 4Q3π ωm NR −e = 2 e j (ω+ωm −ωm )t π
− 4Q3π
+
−e 1 32
NR
|ω−ωm | ωm
−e
− 4Q3π
−e
− 4Q3π
NR
−e
NR
1 − 3π + 2 − e 4QNR 5
= 0.817.
− 4Q3π
NR
e j (ω−ωm +ωm )t ω+3ωm ωm
e j (ω+3ωm −3ωm )t
|ω−3ωm | ωm ω+5ωm ωm
e j (ω−3ωm +3ωm )t
e
|ω−5ωm | ωm
e
Fig. 22. Die micrograph of the 25-GHz doubly balanced circulator implemented in the GF 45-nm SOI CMOS process.
From (52), k Q NR can be calculated using (k Q NR )truncated /kfilter . Hence, Q NReffec is 14.5. From (45) and (46), S21 and S32 are −2.66 dB each. Fig. 20 shows the distribution of circulator loss based the calculations from our analysis. The transmission losses, S21 and S32 , at center frequency from postlayout simulations assuming ideal baluns are −2.8 dB each, as shown in Fig. 21. Simulated transmission losses after deembedding the on-chip baluns are ≈3 dB. Therefore, the simulation results show close agreement with our analysis. C. Measurements
j (ω+5ωm −5ωm )t j (ω−5ωm +5ωm )t
Fig. 21. Postlayout simulations results of transmission losses S21 and S32 and isolation S31 of the 25-GHz 45-nm SOI CMOS doubly balanced circulator.
(52)
The implemented circulator occupies an area of 1.2 mm × 1.8 mm, and Fig. 22 shows the die micrograph. The circulator was implemented with on-chip baluns for measurement purposes. The measurements were performed through RF probing in a chip-on-board configuration, and the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAGULU et al.: NONRECIPROCAL COMPONENTS BASED ON SWITCHED TRANSMISSION LINES
17
Fig. 23. S-parameter measurement by probing two ports at a time, with a broadband 50- millimeter-wave probe termination on the third port. Fig. 25. Measured TX–ANT group delay of the on-chip balun-circulatorbalun structure.
parameters in wireless applications. The group delay of the structure remains fairly constant within the signal bandwidth. As shown in Fig. 25, the group delay of the circulator varies η2 > . . . η N , where η N = 377 ), wherein the impedance values are correlated with the effective complex permittivity and permeability of the layers. It is to be noted that under these configuration the prime goal for design of absorber is to reduce the overall reflection minima over a certain frequency region of interest. Thus, the objective function for minimizing RC can be expressed as (1) F(η1 , d1 , . . . , η N , d N ) = − max[RC] √ where ηi = μN/ε N for i = 1, 2, .., N and γi = j 2π/λ0 ηi for i = 1 to N + 1 being intrinsic impedance and propagation constant for each of the layers and μ N and ε N represent complex permittivity and permeability of the individual layers. The characteristics impedances (Z i ) and RC (dB) can be expressed as 1 + i−1 exp(2γi−1 di−1 ) Zi = ηi−1 (2) 1 − i−1 exp(2γi−1 di−1 ) Z i − ηi for i = 2, 3, . . . , N + 1 (3)
i = Z i + ηi RC = 20 log10 | N+1 |. (4) It is to be noted that the RC of the multilayer absorber can be modeled and calculated using the above expressions (1)–(4). Quite often, various optimization techniques are employed in order to estimate the effective constitutive properties of layered composites. In the present situation, the FSS structure is actually integrated with the dielectric
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKRADHARY et al.: DESIGN OF FSS-BASED HYBRID NANOCOMPOSITE ABSORBER
Fig. 8.
5
Simulated RC of hybrid absorbers.
Fig. 7. Simulated RC of nanocomposites (a) CB1 , (b) CB2 , and (c) CB3 with varying thickness.
nanocomposite sheet as shown in Fig. 6(b). It may be observed from Fig. 6(b) that the incident microwave energy first interacts with the FR-4 substrate integrated with the FSS, which results into coupling of the incident EM energy along with some amount of power dissipation taking place inside the substrate and the FSS structure. Furthermore, some part of the coupled EM energy gets transmitted toward the lossy nanocomposite sheet and the overall power dissipation occurs due to the attenuation and the multiple internal reflection inside the overall structure. The equivalent circuit model of hybrid absorber can be described in terms of the parallel admittances values of FSS (YFSS ) and dielectric sheet (Yd ) as shown in Fig. 6(c). The complete analysis about the admittances of hybrid absorber configuration is given in the next sections. A. Reflection Coefficient Studies of Carbon Black Nanocomposite Absorber Fig. 7(a)–(c) shows the frequency spectra of RC with thickness variation of 0.5, 1, 1.5, 1.8, and 2 mm for nanocomposite samples CB1 –CB3 , respectively. The RC performances are simulated using the relative complex permittivity data of the corresponding nanocomposites. It can be observed from the corresponding graphs that the minima of RC increases with increasing thickness of each individual nanocomposite samples CB1 –CB3 . It can also be observed that RC minima of −0.25, −1.6, and −5 dB have been observed for CB1 –CB3 samples having thickness of 2 mm. Furthermore, it is to be noted that the highest RC minima performances has been achieved for CB3 samples. It can also be inferred from the corresponding graphs that none of the dielectric absorber sheet shows −10 dB reflection characteristics in the desired 4–12-GHz frequency range. Thus, in order to enhance the absorption properties, these fabricated nanocomposite sheet has been used with FSS structure as described in Section III-B. B. Reflection Coefficient Studies of Hybrid Absorbers In order to study the absorption properties of hybrid absorber configurations, CB nanocomposites sheets along with FSS structure have been used under various configurations. The RC performances of the various hybrid configurations are shown in the Fig. 8. It can be observed from Fig. 8 that the
Fig. 9. Smith chart admittance plot of (a) HA1 , (b) HA2 , and (c) HA3 absorbers.
configuration HA1 [FSS + CB1 ] combination shows −10-dB absorption bandwidth of 0.560 and 1.75 GHz corresponding to 6–6.56 and 8.74–10.49 GHz, respectively. Hybrid absorber HA2 [FSS + CB2 ] shows −10-dB absorption bandwidth of 4.42 GHz in 5.40–9.82 GHz, whereas HA3 [FSS + CB3 ] shows −10-dB absorption bandwidth of 2.70 GHz, respectively. It can also be observed that the maximum absorption bandwidth corresponding to RC of −10 dB has been observed for HA2 configuration. Based on these observations, RC measurement has been carried out for HA2 hybrid absorber as described in Section IV. Table II shows the state-of-the-art comparison for the absorption performances of various hybrid absorbers. The comparison is carried out in terms of various parameters for example −10-dB absorption bandwidth, RC, thickness, density, filler concentration, and structural composition. From Table II, it can be observed in our work that the fabricated HA2 of 2.6-mm thickness shows RC of −24.09 with −10 dB RC bandwidth of 3.5 GHz possessing lightweight in nature. Furthermore, the CB filler material used in the absorber nanocomposite possesses dimensions in nanometer ranges and the filler ratio in the matrix is quite low compared to the other filler materials reported in the literature. Hence, it can be said that on the basis of parameters obtained such as filler concentration, RC, −10-dB RC bandwidth, and absorber thickness, the proposed
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II C OMPARISON B ETWEEN THE P ROPOSED W ORK AND E ARLIER
Fig. 10. (a) Hybrid absorber structural composition. (b) Experimental setup for RC measurement.
HA can be considered as an important candidate for the absorber solution complying with the stealth applications. Fig. 9(a)–(c) shows the admittance smith chart plot of various hybrid absorbers HA1 , HA2 , and HA3 , respectively. It can be observed from Fig. 9(a)–(c) that the real admittance values of various hybrid absorbers corresponding to their reflection minima are close to the free-space admittance [2.65 ms], whereas the imaginary part is close to zero. It is to be noted that the real part of admittance gives information about the reflection from the absorber, and a close match with the freespace admittance gives concurrence for the perfect absorption. IV. E XPERIMENTAL S TUDIES OF FSS AND H YBRID A BSORBER To study the reflection characteristics of the newly designed FSS structure as shown in Fig. 1, it has been fabricated using the printed circuit board technology having dimensions of 15 × 15 cm2 , as shown in Fig. 10(a). The measurement for RC has been carried out inside an anechoic chamber along with the experimental setup shown in Fig. 10(b). The experimental setup consists of two horn antennas, with one antenna being the transmitter (Tx) and the other being receiver (Rx) connected with the vector network analyzer (VNA-E8364B using coaxial cables. The RC of the proposed FSS structure is experimentally measured under normal angle, TE, and TM mode under various incidence angles 0°, 20°, and 40°, respectively. Fig. 11 shows that measurement under TE mode, two reflection minima of −18.43 and −31.66 dB are observed at 8.10 and 13.10 GHz, similarly reflection minima of −18.
Fig. 11. Measured RC performances of FSS absorber under (a) TE and (b) TM polarization for various incidence angles.
Fig. 12. Measured RC performances of hybrid absorber HA2 under (a) TE and (b) TM polarization for various incidence angles.
43 and −23.13 dB have been observed at 8.17 and 13.16 GHz, respectively, under TM polarization for normal angle of incidences. Fig. 12 shows the measured RC performances of the optimized hybrid absorber (HA2 ) under TE and TM polarization for various incidence angles, i.e., 0°, 20°, and 40°, respectively. Fig. 12(a) shows the RC minima of −21.40 and −23.46 dB at 5.55 and 7.46 GHz, respectively, with −10-dB absorption bandwidth of 3.5 GHz for the TE polarization under normal angle of incidence. Similarly for the TM polarization, the RC minima of −21.45 and −24.10 dB have been observed at 5.62 and 7.47 GHz, respectively, under normal angle of incidence. It can also be observed from the corresponding graphs that the proposed hybrid absorber shows angularly stable absorption performances up to 40° angle of incidences under various polarizations. However, for the incident angle of 40°, the amplitude of reflectivity near 7.46 GHz weakens under the TE
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKRADHARY et al.: DESIGN OF FSS-BASED HYBRID NANOCOMPOSITE ABSORBER
Fig. 13. Magnetic field distribution of the proposed structure at 7.46 GHz at 40° of incidence angle under (a) TE polarization and (b) TM polarization.
polarization compared to that of the TM polarization. In order to understand the reason behind this, it should first be understood that the truncated L-shaped FSS proposed in this paper may be roughly considered as the loop-based structure since the currents on the top and bottom layers of the FSS as seen from the surface current distribution plot shown in Fig. 5(a) and (b) are along the opposite directions. It basically means that the power dissipation in the present situation shown in Fig. 5(c) primarily occurs due to the magnetic coupling of the incident microwave energy. Hence, the weakening of reflectivity in case of TE polarization for the incident angle of 40° may be understood by plotting the simulated magnetic field distribution as shown in Fig. 13. From Fig. 13, it may be clearly observed that for the incident angle of 40°, the intensity of magnetic field is low in the proposed structure under TE polarization compared to the TM polarization at the designated frequency of 7.46 GHz. It basically means that for the incident angle of 40°, less microwave power is coupled to the FSS structure in case of TE polarization resulting into weakening of the reflectivity near 7.46 GHz. V. C ONCLUSION A novel hybrid nanocomposite absorber based on the combined use of the dielectric nanocomposite sheet and the FSS structure has been presented. The design of the proposed hybrid absorber has been carried out for the minimum −10-dB RC, findings shows that the experimental results matches quite well with the simulated results. It has been observed that for the optimized hybrid absorber configuration [HA2 ] shows that measured −10-dB absorption bandwidth of 3.5 GHz having thickness of 2.6 mm. The findings of the proposed hybrid absorber demonstrate its potential applications for the enhanced electromagnetic absorption which can be used to reduce the RCS in the field of stealth technology, and for various strategic applications. R EFERENCES [1] R. Panwar, S. Puthucheri, D. Singh, and V. Agarwala, “Design of Ferrite–Graphene-based thin broadband radar wave absorber for stealth application,” IEEE Trans. Magn., vol. 51, no. 11, Nov. 2015, Art. no. 2802804. [2] C. Wang et al., “Radar stealth and mechanical properties of a broadband radar absorbing structure,” Compos. B, Eng., vol. 123, pp. 19–27, Aug. 2017.
7
[3] C. Sudhendra, V. Mahule, A. Pillai, and A. Mohanty, “A novel space cloth using resistor grid network for radar absorbers in stealth applications,” in Proc. Int. Conf. Commun. Signal Process., Calicut, India, Feb. 2011, pp. 83–86. [4] D. Micheli et al., “Electromagnetic absorption properties of spacecraft and space debris,” Acta. Astronautica, vol. 133, pp. 128–135, Apr. 2017. [5] D. Micheli, R. Pastore, G. Gradoni, V. M. Primiani, F. Moglie, and M. Marchetti, “Reduction of satellite electromagnetic scattering by carbon nanostructured multilayers,” Acta Astronautica, vol. 88, pp. 61–73, Jul./Aug. 2013. [6] S. K. Rao, C. Lee-Yow, P. Venezia, and M. W. MacGregor, “Generic pick-up horn for high power thermal vacuum testing of satellite payloads at multiple frequency bands and at multiple polarizations,” U.S. Patent 7 750 859 B2, Jul. 6, 2010. [7] P. Kaur, S. Bahel, and S. B. Narang, “Microwave absorption behavior and electromagnetic properties of Ni-Zr doped La-Sr hexagonal ferrite synthesized by auto-combustion method,” Mater. Res. Bull., vol. 100, pp. 275–281, Apr. 2018. [8] K.-Y. Park, J.-H. Han, S.-B. Lee, J.-B. Kim, J.-W. Yi, and S.-K. Lee, “Fabrication and electromagnetic characteristics of microwave absorbers containing carbon nanofibers and NiFe particles,” Compos. Sci. Technol., vol. 69, pp. 1271–1278, Jun. 2009. [9] T. Khalid, L. Albasha, N. Qaddoumi, and S. Yehia, “Feasibility study of using electrically conductive concrete for electromagnetic shielding applications as a Substitute for carbon-laced polyurethane absorbers in anechoic chambers,” IEEE Trans. Antennas Propag., vol. 65, no. 5, pp. 2428–2435, May 2017. [10] M. Naser-Moghadasi, A. Z. Nia, M. Toolabi, and S. Heydari, “Microwave metamaterial absorber based on Jerusalem Cross with meandered load for bandwidth enhancement,” Optics, vol. 140, pp. 515–522, Jul. 2017. [11] M. Karaaslan, M. Baˇgmancı, E. Ünal, O. Akgol, and C. Sabah, “Microwave energy harvesting based on metamaterial absorbers with multi-layered square split rings for wireless communications,” Opt. Commun., vol. 392, pp. 31–38, Jun. 2017. [12] S. Ghosh, S. Bhattacharyya, D. Chaurasiya, and K. V. Srivastava, “An ultrawideband ultrathin metamaterial absorber based on circular split rings,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 1172–1175, Jan. 2015. [13] T. Zhang, B. Xiao, P. Zhou, L. Xia, G. Wen, and H. Zhang, “Porouscarbon-nanotube decorated carbon nanofibers with effective microwave absorption properties,” Nanotechnology, vol. 28, p. 355708, Aug. 2017. [14] S. S. S. Afghahi, A. Mirzazadeh, M. Jafarian, and Y. Atassi, “A new multicomponent material based on carbonyl iron/carbon nanofiber/lanthanum–strontium–manganite as microwave absorbers in the range of 8–12 GHz,” Ceramics Int., vol. 42, pp. 9697–9702, Jun. 2016. [15] W. Wang and M. Cao, “Ni3 Sn2 alloy nanocrystals encapsulated within electrospun carbon nanofibers for enhanced microwave absorption performance,” Mater. Chem. Phys., vol. 177, pp. 198–205, Jul. 2016. [16] N. Zhou, Q. An, Z. Xiao, S. Zhai, and Z. Shi, “Solvothermal synthesis of three-dimensional, Fe2 O3 NPs-embedded CNT/N-doped graphene composites with excellent microwave absorption performance,” RSC Adv., vol. 7, pp. 45156–45169, Jun. 2017. [17] B. Zhong, C. Wang, G. Wen, Y. Yu, and L. Xia, “Facile fabrication of boron and nitrogen co-doped carbon Fe2 O3 /Fe3 C/Fe nanoparticle decorated carbon nanotubes three-dimensional structure with excellent microwave absorption properties,” Compos. B, Eng., vol. 132, pp. 141–150, Jan. 2018. [18] H. Lv, G. Ji, H. Zhang, and Y. Du, “Facile synthesis of a CNTFeSiO2 ternary composite with enhanced microwave absorption performance,” RSC Adv., vol. 5, pp. 76836–76843, Jun. 2015. [19] J. Cheng, B. Zhao, S. Zheng, J. Yang, D. Zhang, and M. Cao, “Enhanced microwave absorption performance of polyaniline-coated CNT hybrids by plasma-induced graft polymerization,” Appl. Phys. A, Solids Surf., vol. 119, pp. 379–386, Apr. 2015. [20] X. Li et al., “One-pot synthesis of CoFe2 O4 /graphene oxide hybrids and their conversion into FeCo/graphene hybrids for lightweight and highly efficient microwave absorber,” J. Mater. Chem. A, vol. 3, pp. 5535–5546, Jan. 2015. [21] C. Qing, D. D. Min, Y. Y. Zhou, F. Luo, and W. C. Zhou, “Graphene nanosheet- and flake carbonyl iron particle-filled epoxy–silicone composites as thin–thickness and wide-bandwidth microwave absorber,” Carbon, vol. 86, pp. 98–107, May 2015.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
[22] D. Yi, X.-C. Wei, and Y.-L. Xu, “Transparent microwave absorber based on patterned graphene: Design, measurement, and enhancement,” IEEE Trans. Nanotechnol., vol. 16, no. 3, pp. 484–490, May 2017. [23] S. de Souza Pinto and M. C. Rezende, “Morphological, electromagnetic, and absorbing properties of POMA and PAni/carbon black composites,” J. Electron. Mater., vol. 46, pp. 4939–4947, Aug. 2017. [24] D. Min, W. Zhou, Y. Qing, F. Luo, and D. Zhu, “Enhanced microwave absorption properties of oriented carbonyl iron/carbon black composite induced by shear force,” J. Electron. Mater., vol. 46, pp. 4903–4911, Aug. 2017. [25] L. Liu, Y. Duan, L. Ma, S. Liu, and Z. Yu, “Microwave absorption properties of a wave-absorbing coating employing carbonyl-iron powder and carbon black,” Appl. Surf. Sci., vol. 257, pp. 842–846, Nov. 2010. [26] S. Vinayasree et al., “Flexible microwave absorbers based on barium hexaferrite, carbon black, and nitrile rubber for 2–12 GHz applications,” J. Appl. Phys., vol. 116, p. 024902, Jun. 2014. [27] V. K. Chakradhary and M. J. Akhtar, “Microwave absorption properties of strontium ferrite and carbon black based nanocomposites for stealth applications,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Kuala Lumpar, Malaysia, Nov. 2017, pp. 678–681. [28] A. Tennant and B. Chambers, “A single-layer tuneable microwave absorber using an active FSS,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 46–47, Jan. 2004. [29] J. Li et al., “Design of a tunable low-frequency and broadband radar absorber based on active frequency selective surface,” IEEE Antennas Wireless Propag. Lett., vol. 15, pp. 774–777, Aug. 2016. [30] Z. Yang, F. Luo, W. Zhou, H. Jia, and D. Zhu, “Design of a thin and broadband microwave absorber using double layer frequency selective surface,” J. Alloys Compounds, vol. 699, pp. 534–539, Mar. 2017. [31] J. Lee, M. Yoo, and S. Lim, “A study of ultra-thin single layer frequency selective surface microwave absorbers with three different bandwidths using double resonance,” IEEE Trans. Antennas Propag., vol. 63, no. 1, pp. 221–230, Jan. 2015. [32] L. Sun and C. Zhang, “Design of broadband microwave absorber utilizing FSS screen constructed with coupling configurations,” Appl. Phys. A, Solids Surf., vol. 109, pp. 873–875, Dec. 2012. [33] B. A. Munk, Frequency Selective Surfaces: Theory and Design. New York, NY, USA: Wiley, 2000. [34] Z. Liao, R. Gong, Y. Nie, T. Wang, and X. Wang, “Absorption enhancement of fractal frequency selective surface absorbers by using microwave absorbing material based substrates,” Photon. Nanostruct.Fundam. Appl., vol. 9, pp. 287–294, Jul. 2011. [35] S. N. Zabri, R. Cahill, and A. Schuchinsky, “Compact FSS absorber design using resistively loaded quadruple hexagonal loops for bandwidth enhancement,” Electron. Lett., vol. 51, no. 2, pp. 162–164, 2015. [36] F. Costa, S. Genovesi, A. Monorchio, and G. Manara, “A circuit-based model for the interpretation of perfect metamaterial absorbers,” IEEE Trans. Antennas Propag., vol. 61, no. 3, pp. 1201–1209, Mar. 2013. [37] H.-T. Liu, H.-F. Cheng, Z.-Y. Chu, and D.-Y. Zhang, “Absorbing properties of frequency selective surface absorbers with cross-shaped resistive patches,” Mater. Des., vol. 28, no. 7, pp. 2166–2171, 2007. [38] H. B. Baskey and M. J. Akhtar, “Design of flexible hybrid nanocomposite structure based on frequency selective surface for wideband radar cross section reduction,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 6, pp. 2019–2029, Jun. 2017. [39] W. Ren, Y. Nie, X. Xiong, C. Zhang, Y. Zhou, and R. Gong, “Enhancing and broadening absorption properties of frequency selective surfaces absorbers using FeCoB-based thin film,” J. Appl. Phys., vol. 111, p. 07E703, Feb. 2012. [40] L. Zhang et al., “A broadband radar absorber based on perforated magnetic polymer composites embedded with FSS,” IEEE Trans. Magn., vol. 50, no. 5, May 2014, Art. no. 4004305. [41] R. Jaiswar et al., “Absorption modulation of FSS-polymer nanocomposites through incorporation of conductive nanofillers,” Appl. Phys. A, Solids Surf., vol. 123, p. 164, Mar. 2017. [42] H. Xu, S. Bie, Y. Xu, W. Yuan, Q. Chen, and J. Jiang, “Broad bandwidth of thin composite radar absorbing structures embedded with frequency selective surfaces,” Compos. A, Appl. Sci. Manuf., vol. 80, pp. 111–117, Jan. 2016. [43] L. Sun, H. Cheng, Y. Zhou, and J. Wang, “Design of a lightweight magnetic radar absorber embedded with resistive FSS,” IEEE Antennas Wireless Propag. Lett., vol. 11, pp. 675–677, 2012. [44] Y. Qing, H. Nan, L. Ma, F. Luo, and W. Zhou, “Double-layer structure combined with FSS design for the improvement of microwave absorption of BaTiO3 particles and graphene nanoplatelets filled epoxy coating,” J. Alloys Compounds, vol. 739, pp. 47–51, Mar. 2018.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[45] S. Vinayasree, M. A. Soloman, V. Sunny, P. Mohanan, P. Kurian, and M. R. Anantharaman, “A microwave absorber based on strontium ferrite–carbon black–nitrile rubber for S and X-band applications,” Compos. Sci. Technol., vol. 82, pp. 69–75, Jun. 2013. [46] F. Yongbao, Q. Tai, S. Chunying, and L. Xiaoyun, “Complex permeability and permittivity and microwave absorption property of barium ferrite/EPDM rubber radar absorbing materials in 2-18 GHz,” in Proc. Asia–Pacific Microw. Conf., vol. 2, Dec. 2005, p. 4.
Vishal Kumar Chakradhary is currently pursuing the Ph.D. degree at IIT Kanpur, Kanpur, India. He is involved in the synthesis of magnetic and dielectric nanocomposites-based microwave/radar absorbers. He is also involved in simulation modeling and electromagnetic characterization of thin and lightweight hybrid absorbers based on advanced nanocomposites for stealth application.
Himangshu Bhusan Baskey (S’02–M’14) is currently pursuing the Ph.D. degree at IIT Kanpur, Kanpur, India. He is mainly involved in the electromagnetic characterization of various types of advanced composites, nanocomposites, artificial dielectrics, electromagnetic absorbers, and radar cross-section measurements for the defense stealth applications.
Rakesh Roshan is currently pursuing the Ph.D. degree at IIT Kanpur, Kanpur, India. His current research interests include frequency selective surfaces, electromagnetic absorbers, and microstrip antennas.
Anuradha Pathik received the M.Tech. degree in material science from IIT Kanpur, Kanpur, India, in 2018. Her research interest includes bandwidth enhancement of frequency selective surface-based absorbers using dielectric materials.
M. Jaleel Akhtar (S’99–M’03–SM’09) received the Ph.D. degree in electrical engineering from the University of Magdeburg, Magdeburg, Germany, in 2003. He is currently an Associate Professor with the Department of Electrical Engineering, IIT Kanpur, Kanpur, India. His current research interests include RF, microwave and THz imaging, microwave nondestructive testing, metamaterial inspired RF sensors, SIW-based RF devices and sensors, and wideband electromagnetic absorbers.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
4745
Conversion Rules Between X-Parameters and Linearized Two-Port Network Parameters for Large-Signal Operating Conditions Riadh Essaadali , Member, IEEE, Anwar Jarndal , Member, IEEE, Ammar B. Kouki, Senior Member, IEEE, and Fadhel M. Ghannouchi, Fellow, IEEE
Abstract— This paper presents conversion rules between X-parameters, linearized impedance parameters, linearized admittance parameters, linearized cascade parameters, linearized scattering transfer parameters, linearized hybrid parameters, and linearized inverse hybrid parameters of a two-port network under large-signal operating conditions. The rules have been developed along with a set of equations that allow obtaining the expressions of each linearized parameter from the remaining ones. The proposed approach has been evaluated, and very good agreement has been obtained between calculated parameters and simulated ones. Index Terms— Conversion rules, linearized admittance, linearized cascade parameters (ABCD-parameters), linearized hybrid parameters (G-parameters), linearized impedance, linearized inverse hybrid parameters (H-parameters), linearized scattering transfer parameters (T-parameters), network parameters, nonlinear, two-port, X-parameters.
I. I NTRODUCTION
X
-PARAMETERS were introduced in 2005 [1–[3], and they represent new nonlinear scattering parameters, applicable to passive and active circuits under small- and large-signal excitation [4]. The main limitation is that X-parameters are not directly suitable for the analytic analysis of different network configurations. For example, they are not cascadable in their original form. For this reason, linearize scattering transfer parameters (T -parameters) are defined which are cascadable in their matrix format. This means that multiplying the individual T matrices of cascaded two-port networks leads to the overall resultan T matrix of the cascade system. The description of a nonlinear network havin ports and m harmonics can be described using n × m equations.
Manuscript received October 24, 2017; revised April 16, 2018 and July 14, 2018; accepted July 17, 2018. Date of publication September 10, 2018; date of current version November 5, 2018. (Corresponding author: Riadh Essaadali.) R. Essaadali and A. B. Kouki are with the LACIME Laboratory, École de technologie supérieure, Montréal, QC H3C 1K3, Canada (e-mail:
[email protected]). A. Jarndal is with the Electrical and Computer Engineering Department, University of Sharjah, Sharjah 27272, United Arab Emirates (e-mail:
[email protected]). F. M. Ghannouchi is with the Intelligent RF Radio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB T2N 1N4, Canada, and also with the Department of Electronic Engineering, Tsinghua University, Beijing 100084, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2863227
In addition to X-parameters and T -parameters, linearized twoport networks can be described by their linearized impedance parameters (Z-parameters), linearized admittance parameters (Y -parameters), linearized cascade parameters (ABCDparameters), linearized hybrid parameters (G-parameters), and linearized inverse hybrid parameters (H-parameters). The resulting linearized two-port parameters define the terminal voltage/current relationships of the two-port under specific operating conditions, such as dc bias, input power level, and temperature. With these linearized parameters, voltages and currents are used to define the independent and dependent variables instead of incident and reflected waves. At high frequencies, these linearized parameters cannot be measured directly and accurately at high frequency. However, they are useful to nonlinear circuit modeling and design. For example, they describe different network topologies such as series, parallel, series-to-parallel, parallel-to-series, and cascade. This was the motivation to introduce a new set of equations in this paper that allow determining the values of these linearized network parameters from X-parameter measurements. Developin conversion rules between these parameters is very important. These will be useful, for example, to exploit X-parameters to more accurately and quickly build a nonlinear equivalent circuit-based model that captures the device behavior at the fundamental and harmonic frequencies. The conversion from X-parameters to linearized impedance, admittance, and ABCD parameters is essential for model de-embedding and parameter extraction. A first attempt to define conversion rules implicating X-parameters was in [5] and [6]. Only two equations were presented that allow determining linearized Z-parameters and linearized Y -parameters from X-parameters. Also, it is assumed that the phase of a11 is zero, which makes the model treat a special case or a nontime-invariant system in the general case. The equations presented in [5] are limited to only one port with two harmonics or to only two-port with fundamental. In [6], in order to analyze a mixed-series connection topology of nonlinear and linear component, i.e., a transistor operating in large-signal mode with a feedback capacitor, the expression between scattered and incident harmonic traveling voltage waves through X-parameters is transformed to a relation between harmonic voltage and current. Nonlinear Z-parameters are not explicitly presented.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
4746
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
than 0. It is mathematically correct, and this relation is well verified while measuring with the NVNA and simulating with ADS a nonlinear component. Parameters S pmq j (|a11|) and T pmq j (|a11|) can be set equal to X Spmq j (|a11|)P m− j and X Tpmq j (|a11|)P m+ j , respectively. Terms T pm11 are equals to 0. For a two-port device and only n harmonics are considered, (1) can be rewritten as S pmq j (|a11 |) P m− j aq j bpm = Fig. 1.
Incident and scattered waves of a nonlinear two-port device.
In this paper, all conversion rules between the different linearized two-port network parameters such as X-, Z-, Y -, ABCD-, T -, G-, and H-parameters are presented and, then, validated in both linear and nonlinear operating modes. Some of these rules were used to develop an accurate largesignal equivalent circuit based model that is extracted from X-parameter measurements [7]. Another application example of linearized network parameters and their conversion rules is the development of a link between X-parameters and chargecontrolled quasi-static model [8]. We believe that these conversion rules will be useful for other applications in the area of nonlinear circuit modeling, design, analysis, and measurements.This paper is organized as follows. Section II outlines the concept of X-parameter and defines the expressions of linearized two-port network parameters. Section III presents all conversion rules between the new linearized two-port network parameters. In Section IV, the conversion rules are validated in linear and nonlinear operation modes. II. L INEARIZED T WO -P ORT N ETWORK PARAMETERS X-parameters are the result of the linearization of the multivariate complex functions that correlate all of the relevant input spectral components with the output spectral components for a two-port device [1], [2] F S k−l (|a11|)P k + X ik, a jl bik = X ik j l (|a11 |)P ( j,l) =(1,1)
+
T k+l ∗ X ik, a j l . (1) j l (|a11 |)P
( j,l) =(1,1)
where bpm and aq j (a∗q j is the conjugate) are, respectively, the scattered and incident traveling voltage waves. Indices p and q range from one to the number of signal ports. Indices m and j range from one to the highest harmonic index. Term P = exp( j ϕ(a11)) is the unity length phasor having the same F, XS T phase as a11 . X ik ik, j l , and X ik, j l are the X-parameters and depend on the amplitude of the incident wave. The graphical representation in Fig. 1 illustrates the basic concept of X-parameters. Multiple frequencies are present in the input and output spectrum. In each frequency, there are incident and scattered waves. And X-parameters define a linearized spectral map relating incident to scattered traveling voltage F (|a |) is complex. Thus, waves. In X-parameters, term X ik 11 S (|a |)P k−1 a = F it can be rewritten as X ik (|a11|)P k = X ik11 11 11 Sik,11 (|a11|)a11 , since the input drive a11 is always different
j =1..n q=1,2
+
T pmq j (|a11|) P m+ j aq∗ j .
(2)
j =1..n q=1,2 (q, j ) =(1,1)
In addition to X-parameters, a nonlinear component can be described by linearized Z-, Y -, T -, ABCD-, H- and G-parameters. Y -parameters relate harmonic voltage components to harmonic current components at both ports. Linearized Y -parameters include two-term categories, β α Y pmq j and Y pmq j , which are associated with harmonic component voltage v q j and its conjugate v q∗ j , respectively. Linearized β α T -parameters include two-term categories T pmq j and T pmq j associated, respectively, to a harmonic component and its conjugate of a waves and b waves present at output port. Like in linear case, linearized ABCD matrix includes four parameter categories: A, B, C, and D terms. A terms relate input harmonic voltages to output harmonic voltages. B terms relate input harmonic voltages to output harmonic currents. C terms relate input harmonic currents to output harmonic voltages. D terms relate input harmonic currents to output harmonic currents. Each ABCD-parameters include two-term categories associated, respectively, with a harmonic component and its conjugate of voltage and current present at second port. G-parameters use the input harmonic current components at port 1 and the output harmonic voltage components at port 2 as independent variables. Linearized H-parameters include twoβ α term categories H pmq j and H pmq j associated with a harmonic component and its conjugate of voltage and current. Linearized G-parameters use the input harmonic voltage components and the output harmonic current components as independent variables. The formulation of the nonlinear model based on linearized Z-, Y -, T -, ABCD-, G- and H-parameters are presented in the following equations. Analogous to X-parameters, linearized network parameters can be derived by using Taylor series. They are the result of the series expansion based on the partial derivatives. The resulting dependent parameters is composed of signal operating point (LSOP) term, plus contributions due to the small-signal stimulus on each individual frequency component [1], [2]. It is assumed that there is only one largesignal plus small remaining spectral components. For example, α refers to the large-signal in linearized Y -parameters, Y pm,11 part of i pm which is a nonlinear function of |a11|, to be β α consistent with X-parameters measurements. Ypm, j l and Ypm, j l with ( j, l) = (1, 1) are the coefficients associated with the contribution of linear components to i pm and of the conjugate of the linear components v j l . The conjugate term models
ESSAADALI et al.: CONVERSION RULES BETWEEN X-PARAMETERS AND LINEARIZED TWO-PORT NETWORK PARAMETERS
the self-mixing behavior of nonlinear systems: when incident signals at different frequencies are injected simultaneously to a nonlinear system, they will generate signals that are the sum and difference of their frequencies. The complex conjugate term allows modeling the difference. In this paper, it is assumed that the independent variables are considered as the sum of one large RF signal with small spectral components. X-parameters model include only one large input tone in the LSOP. Therefore, the characterized nonlinear device should be input matched for the fundamental frequency. For a DUT, the reflections at the fundamental and the harmonics at the output and the reflections at the harmonic at its input are considered as small perturbations. The validity of this assumption depends on whether the contribution of these perturbations is small enough such that the considered variable can be assumed linear. If the DUT is mismatched, load-dependent X-parameters are used. Load-dependent X-parameters are just a set of X-parameters measured at different load conditions [9]. Each term in X-parameters and in linearized network parameters depends nonlinearly on the dc bias power, fundamental frequency, input RF power, and source and load fundamental and harmonic terminations. In summary, since the proposed linearized network parameters are extracted using X-parameters, they automatically inherent the limitation of X-parameters and bear the same LSOP as that of X-parameters. For simplification purposes, dependence on only a11 is considered in the following equations: v pm = Z αpmq j (|a11 |)P m− j i q j j =1..n q=1,2
+
β
Z pmq j (|a11|)P m+j i q∗j
(3)
j =1..n q=1,2 (q, j ) =(1,1)
i pm =
α m− j Y pmq vq j j (|a11 |)P
j =1..n q=1,2
+
β
Y pmq j (|a11 |)P m+j v q∗ j
(4)
(5) β
α m− j T2m1 b2 j +T2m1 j (|a11|)P m+j b2∗ j j (|a11 |)P
j =1..n
+
β
α m− j T2m2 a2 j +T2m2 j (|a11 |)P m+j a2∗ j j (|a11 |)P
j =1..n
j =1..n
+
β
α m− j D1m2 i 2 j + D1m2 j (|a11 |)P m+j i 2∗ j j (|a11 |)P
j =1..n
(8)
(9)
(10)
(11)
β α m− j v 1m = H1m1 i1 j + H1m1 j (|a11 |)P m+j i 1∗ j j (|a11 |)P j =1..n j =2..n β α m− j + H1m2 j (|a11|)P v 2 j + H1m2 j (|a11 |)P m+j v 2∗ j j =1..n β α m− j H2m1 i1 j + H2m1 j (|a11 |)P m+j i 1∗ j i 2m = j (|a11 |)P j =1..n j =2..n β α m− j + H2m2 j (|a11 |)P v 2 j + H2m2 j (|a11 |)P m+j v 2∗ j j =1..n β i 1m = G α1m1 j (|a11 |)P m− j v 1 j + G 1m1 j (|a11 |)P m+j v 1∗ j j =1..n j =2..n β α m− j + G 1m2 j (|a11 |)P i 2 j +G 1m2 j (|a11 |)P m+j i 2∗ j j =1..n β v 2m = G α2m1 j (|a11|)P m− j v 1 j + G 2m1 j (|a11|)P m+j v 1∗ j j =1..n j =2..n β α m− j + G 2m2 j (|a11|)P i 2 j +G 2m2 j (|a11 |)P m+j i 2∗ j . j =1..n
(12) The P terms are added to take into account the timeinvariance characteristic. A time-invariant system exhibits that if the excitation is time shifted by τ , the response should be time shifted with the same value τ . As shown in the following equation, if a delay τ is applied at the fundamental frequency current, the voltage or current at nω 1 will be shifted by nτ (13)
For example, in linearized Z-parameter case, applying a delay P = e− j ωτ leads to v pm P −m = Z αpmq j (|a11|) P − j i q j +
β
Z pmq j (|a11 |) P j i q∗j (14)
j =1..n q=1,2 (q, j ) =(1,1)
β
α m− j T1m2 b2 j +T1m2 j (|a11 |)P m+j b2∗ j j (|a11 |)P
j =1..n
a1m =
β
α m− j C1m2 v 2 j +C1m2 j (|a11 |)P m+j v 2∗ j j (|a11 |)P
j =1..n q=1,2
β
α m− j T1m1 a2 j +T1m1 j (|a11 |)P m+j a2∗ j j (|a11 |)P
j =1..n
+
Iin e j nω1 (t −τ ) = Iin e j nω1 t e− j nω1 τ .
j =1..n q=1,2 (q, j ) =(1,1)
b1m =
i 1m =
4747
(6)
β v 1m = Aα1m2 j (|a11 |)P m− j v 2 j + A1m2 j (|a11 |)P m+j v 2∗ j j =1..n β α m− j + B1m2 i 2 j + B1m2 j (|a11|)P m+j i 2∗ j j (|a11 |)P j =1..n
(7)
which is equivalent to (3). In measurement, P is set as the phasor of a11 . Linearized two-port network parameters are not only derived from X-parameters formalism, but also they can be derived by using the first-order approximation of the Taylor series of the input–output nonlinear functional relationship. For example, the voltage–current functional relationship that can characterize the nonlinear steady-state behavior of a DUT can be formulated as ∗ ∗ P +2 , . . . , v 1n P −n , v 1n P +n i pm = K pm |a11|, v 12 P −2 , v 12 ∗ ∗ v 21 P −1 , v 21 P +1 , . . . , v 2n P −n , v 2n P +n P +m . (15)
4748
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
The function K pm is linearized around |a11| to be consistent with X-parameter measurement. This yields to the series expansion in F i pm = K pm (|a11 |) P +m +
q=2 j =n q=1 j =1 (q, j ) =(1,1)
∂ K pm (|a11|)P m− j v q j ∂(v q j P − j )
q=2
j =n
+
q=1 j =1 (q, j ) =(1,1)
∂ K pm (|a11 |)P m+ j v q∗ j . ∂(v q∗ j P j )
(16)
α Substituting K pm (|a11 |)P +m by Y pm11 (|a11 |)P m−1 v 11 , α − j m− j ∂ K pm /∂(i q j P )(|a11|)P v q j by Y pmq j (|a11 |)P m− j v q j and for (q,j) different to (1,1) ∂ K pm /∂(i q∗j P j )(|a11 |)P m+ j v q∗ j β
by Y pmq j (|a11 |)P m+ j v q∗ j , (20) is equivalent to (4). The independent and dependent variables related by the linearized parameters can be defined in the following vector form: [K ] = [[K 1 ], [K 2 ]]T where the subvectors are defined as: [K i=1,2 ] = ∗ . . . k k ∗ ]T . The relation between B-wave and [ ki1 ki1 in in A-wave vectors through X- and linearized T -matrices are in (17) and (18), respectively. And, the relation between voltage and current vectors through linearized Z -, Y -, ABCD-, G-, and H -matrices are in (19), (20), (21), (22), and (23), respectively. The dependent and independent vectors have the same length, which allows getting a square matrix. The only issue that can happen is the inversion of the matrices and submatrices to calculate the linearized network parameters. Thanks to its type, the matrices of linearized parameters will not lose their full rank. And its inversion will be accurate and quickly calculated. For the rest of this paper, in X-parameters and linearized network matrices, the dependence on the LSOP is omitted to reduce the size of equations
[B] = [X][ A] [ A2 ] [B1 ] = [Tnonlin] [ A1 ] [B2 ] [V ] = [Z nonlin] [I ]
[I ] [V1 ] [I1 ] [V2 ] [I2 ] [I1 ] [V2 ] [V1 ] [I2 ]
= [Ynonlin] [V ] = [ ABC Dnonlin] [ A] [B] [V2 ] = [I2 ] [C] [D] [V1 ] = [G nonlin] [I2 ] [I1 ] = [Hnonlin] . [V2 ]
where the X matrix can be represented by [X 11 ] [X 12 ] [X] = [X 21 ] [X 22 ] and (25), shown at the bottom of the next page.
(17) (18) (19) (20)
(21) (22) (23)
(24)
The linearized Z-, Y -, ABCD-, G-, or H-parameters can be represented by the general matrix [Rnonlin]. If N harmonics are considered and for a two-port circuit, matrix [R] has the size of 4N × 4N [R11 ] [R12 ] (26) [Rnonlin] = [R21 ] [R22 ] and (27), shown at the bottom of the next page. Actually, terms S pmq j and T pmq j do not depend only on |a11|, but they are complex functions of the frequency, the magnitude of the excitation at the fundamental |a11 |, and terminations at source S and load L . The load-dependent X-parameters were introduced to circumvent the limited accuracy of the poly-harmonic distortion model. And it consists of a set of X-parameters measured at different source and load terminations. At this time, there is no measurement capability to gather directly the linearized network parameters values. The NVNA can be used together with a source and load tuner to measure load-dependent X-parameters across the Smith Chart. The linearized network parameters are extracted from X-parameter measurement under the same conditions: same input power, same dc voltages, same fundamental frequency, and same source and load terminations. Using the conversion rules listed below, linearized network parameters data can be extracted and will be ready for use. To reduce data size, source and load grid can be optimized. The grid can be defined by the error between measured- and predicted-dependent variable waveforms and by the used interpolation and extrapolation algorithms. III. C ONVERSION B ETWEEN L INEARIZED T WO -P ORT N ETWORK PARAMETERS In this section, a transparent matricial transformation between linearized parameters will be presented. In this paper, it is assumed that the noise level is very low in a way that can be neglected and does not affect the conversion rules. Generally, low-noise setup and configuration is used to measure X-parameters. A. Conversion From X- to Linearized Z-, Y-, ABCD-, G-, H-, and T-Parameters The relation between A waves and B waves through X-parameters are represented by (17). The A-wave and B-wave vectors are defined as: [B] = [[B1 ], [B2 ]]T and ∗ ∗ T . . . bin bin [ A] = [[ A1], [ A2 ]]T and [Bi ] = [ bi1 bi1 ] ∗ ∗ T a . . . a a a and [ Ai ] = [ i1 i1 in in ] . The basic quantities used for X-parameters are traveling voltage waves [10]. The waves are defined as linear combinations of the signal port voltage v and the signal port current i [9]. The incident waves are called the a waves and the scattered waves are called the b waves. They are defined in (28) and (29), as in [11]. Each spectral component has an associated harmonic index, which denotes the ratio between the associated frequency and the fundamental tone. The harmonic index is indicated by the last subscript k. The first subscript i indicates the respective DUT signal port. Port 1 typically corresponds to the input and port 2 to the
ESSAADALI et al.: CONVERSION RULES BETWEEN X-PARAMETERS AND LINEARIZED TWO-PORT NETWORK PARAMETERS
output of the DUT. The incident waves aik and scattered waves bik are defined as functions of the spectral components of voltage v ik , current i ik , and the reference impedance Z c that is assumed to be a real constant in this paper aik bik
v ik + Z c i ik = 2 v ik − Z c i ik . = 2
(28) (29)
Harmonic voltages and currents can be expressed, respectively, in the following equations as a function of spectral components of incident and scattered waves v ik = aik + bik aik − bik . i ik = Zc
(30) (31)
Thus, the linearized admittance matrix can be defined in (36) to describe the relationship between harmonic currents and harmonic voltages in [Ynonlin] =
[V ] = Z c [[Id ] − [X]]−1 [[Id ] + [X]][I ]
(33)
where [Id ] is the identity matrix. Therefore, the linearized impedance matrix can be defined to describe the relationship between harmonic voltages and harmonic current components [Z nonlin] = Z c [[I d] − [X]]
−1
[[I d] + [X]] .
(34)
From (33), the expression of current vector in terms of voltage vector and X-parameters can be derived and its expression is [I ] =
1 [[Id ] + [X]]−1 [[Id ] − [X]] [V ]. Zc
(35)
[ A] = [Id + [Id − X 11 ]−1 [Id + X 11 ]]−1 · {[Id − X 11 ]−1 [Id + X 11 ][X 21]−1 [Id − X 22 ] + [Id − X 11 ]−1 [X 12 ]}
(38) 1 −1 [C] = [X 22 ] [Id − X 22 ] Zc 1 − [Id + [Id − X 11 ]−1 [Id + X 11 ]]−1 Zc {[Id − X 11 ]−1 [Id + X 11 ][X 21]−1 [Id − X 22 ] (39) + [Id − X 11 ]−1 [X 12 ]}. Similarly, to determine linearized [B] and [D] submatrices in terms of X-parameters, [V2 ] is assumed to be equal to [0]. In this case, (17) is equivalent to [V1 ] − Z c [I1 ] [X 11 ] [X 12 ] [V1 ] + Z c [I1 ] = . −Z c [I2 ] [X 21 ] [X 22 ] Z c [I2 ] (40) The manipulation of (40) leads to the expression of [B] and [D] in Zc ([X 12 ] − [Id + X 11 ][X 21]−1 [Id + X 22 ]) (41) 2 1 [D] = ([[X 11]−[Id ]][X 21 ]−1 [[Id ]+[X 22]]−[X 12]). (42) 2 To derive the expression of linearized G-parameters in terms of linearized X-parameters, the expression of voltage waves [B] =
⎡
Si1 j 1 P 1−1 Ti1 j 1 P 1+1 ⎢ T ∗ P −(1+1) S ∗ P −(1−1) ⎢ i1 j 1 i1 j 1 ⎢ .. .. X i j i=1,2 = ⎢ . . ⎢ j =1,2 ⎢ Tin j 1 P n+1 ⎣ Sin j 1 P n−1 Tin∗j 1 P −(n+1) Sin∗ j 1 P −(n−1) ⎡ β α P 1−1 Ri1 j 1 P 1+1 Ri1 j1 ∗ ⎢ β α ∗ P −(1−1) ⎢ Ri1 j 1 P −(1+1) Ri1 j1 ⎢ ⎢ .. .. Ri j i = 1, 2 = ⎢ . . ⎢ β ⎢ α n−1 j = 1, 2 Rin j 1 P n+1 ⎣ Rin j 1 P β∗
Rin j 1 P −(n+1)
∗
(36)
The manipulation of (37) leads to the expression of [ A] and [C] submatrices in
(32)
where [V ] = [[V1 ], [V2 ]]T and [I ] = [[I1 ], [I2 ]]T are, respectively, the voltage and current vectors. The subvector ∗ ∗ ]T is expressed in terms of n · · · v in v in [Vi ] = [ v i1 v i1 harmonic voltage components. The current subvector is [Ii ] = ∗ · · · i i ∗ ]T . Equation (32) is equivalent to [ i i1 i i1 in in
1 {[I d] + [X]}−1 {[I d] − [X]} . Zc
To determine linearized [ A] and [C] submatrices in terms of X-parameters, vector [I2 ] should be assumed to be a null vector [0]. In this case, (17) is equivalent to [V1 ] − Z c [I1 ] [X 11 ] [X 12 ] [V1 ] + Z c [I1 ] = . [V2 ] [X 21 ] [X 22 ] [V2 ] (37)
Substituting a j l and bik by their expression in (28) and (29), linearized Z-parameters, Y -parameters, G-parameters, H-parameters, and ABCD-parameters can be derived in terms of X-parameters. Equation (17) is equivalent to [V ] − Z c [I ] = [X]{[V ] + Z c [I ]}
4749
α P −(n−1) Rin j1
... ... .. . ... ... ... ... .. . ... ...
Si1 j n P 1−n Ti1∗j n P −(1+n) .. . Sin j n P n−n Tin∗j n P −(n+n)
⎤ Ti1 j n P 1+n Si1∗ j n P −(1−n) ⎥ ⎥ ⎥ .. ⎥ . ⎥ ⎥ Tin j n P n+n ⎦ Sin∗ j n P −(n−n)
α 1−n Ri1 jn P β∗
Ri1 j n P −(1+n) .. . α Rin j n P n−n β∗
Rin j n P −(n+n)
β
Ri1 j n P 1+n
(25)
⎤
α ∗ P −(1−n) ⎥ ⎥ Ri1 jn ⎥ ⎥ .. ⎥ . ⎥ β ⎥ n+n Rin j n P ⎦ ∗ α −(n−n) Rin j n P
(27)
4750
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
in terms of harmonic voltages (28), (29) is used. Then, the expressions of [G 11 ], [G 12 ], [G 21 ] and [G 22 ] are, respectively, in the following equations: [G 11 ] =
−1 [[Id ] + [X 11 ] + [X 12 ][[Id ] − [X 22 ]]−1 [X 21 ]]−1 Zc · [[X 11 ] − [Id ] + [X 12 ][[Id ] − [X 22 ]]−1 [X 21 ]] (43) [[Id ] − [X 22 ]]]
−1
[[X 11] + [Id ]] − [X 21 ]]
−1
[G 21 ] = 2[[X 12 ] + [[X 11 ] + [Id ]][X 21 ]
−1
(44) [G 12 ] = 2[[[X 22 ] − [Id ]][X 12 ]
−1
(45)
Equation (57) is equivalent to 1 [[Id ] + [X]]−1 [[Id ] − [X]] [V ]. (58) Zc Therefore, the nonlinear admittance matrix can be defined to describe the relationship between harmonic currents and harmonic voltage components [I ] =
[Ynonlin ] =
1 [[Id ] + [X]]−1 [[Id ] − [X]] [V ]. Zc
(59)
If pseudowave definition is used [12], term (Re(Z ref ))1/2 / (2|Z ref |) is simplified, and the same expression of linearized two-port network parameters is obtained.
−1
[G 22 ] = Z c [[X 21 ] − [[X 22 ] + [Id ]][X 12] [[X 11 ] + [Id ]]] ·[[[X 22 ] − [Id ]][X 12 ]−1 [[X 11] + [Id ]] − [X 21 ]]−1 . (46) In (37), assuming [V2 ] = 0 allows calculating [H11] and [H21] and assuming [I1 ] = 0 allows calculating [H12] and [H22]. Then, the expressions of [H11], [H21], [H12], and [H22] are, respectively, in the following equations: [H11] = Z c [[Id ] − [X 11 ]+[X 12][Id + X 22 ]−1 [X 21]]−1 · [[Id ]+[X 11] − [X 12 ][Id + X 22 ]−1 [X 21 ]]
(47)
[H21] = −[Id + X 22 ] [X 21 ] · {[Id ]+[[Id ] − [X 11 ]+[X 12][Id + X 22 ]−1 [X 21 ]]−1 . (48)
· [[X 12 ] − [X 12 ][Id + X 22 ]−1 [X 22 − Id ]] (49) 1 [[Id ]+[X 22]+[X 21][Id − X 11 ]−1 [X 12 ]]−1 [H22] = Zc · [[Id ] − [X 22 ] − [X 21 ][Id − X 11 ]−1 [X 12 ]]. (50) The expressions of [T11 ] and [T21 ] in terms of X-parameters are determined in (51) and (52) by assuming that [B2 ] = [0]. The expressions of [T12 ] and [T22 ] in terms of X-parameters are determined in (53) and (54) by assuming that [ A2 ] = [0] [T11 ] = [X 12 ] − [X 11 ][X 21 ]−1 [X 22 ] [T21 ] = −[X 21 ]−1 [X 22 ]
(51) (52)
[T12 ] = [X 11 ][X 21 ]−1 [T22 ] = [X 21 ]−1 .
(53) (54)
Conversion rules are independent of the definition of the a and b waves. Whether traveling voltage waves or power waves or pseudowaves are used, the expressions of the nonlinear parameters are the same. By substituting A- and B-power waves by their expression in terms of voltages and√current in (55) and (56) [12], and by simplifying by 1/2 Z c , X-parameter equation is equivalent to (57) v j l + Z ci j l a jl = √ 2 Zc v j l − Z ci j l b jl = √ 2 Zc [V ] − Z c [I ] = [X]{[V ] + Z c [I ]}.
Linearized Z-parameters use voltages and currents, whereas X- and linearized T -parameters use traveling waves. To derive the expression of X- and linearized T -parameters in terms of linearized Z-parameters, (30) and (31) are used. Equation (19) is equivalent to 1 [Z nonlin] {[ A] − [B]} . (60) Zc The expression of the B vector in terms of the A vector will lead to the expression of X-parameters in terms of linearized Z-parameters −1 1 1 [X] = [Id ] + [Z nonlin] [Z nonlin] − [Id ] . (61) Zc Zc [ A] + [B] =
−1
· [[Id ]+[X 11] − [X 12 ][Id + X 22 ]−1 [X 21 ]]} [H12] = [[Id ] − [X 11 ]+[X 12][Id + X 22 ]−1 [X 21 ]]−1
B. Conversion From Linearized Z- to X-, linearized T-, H-, G-, ABCD-, and Y-Parameters
The expressions of linearized T -submatrices [T11 ], [T21 ], [T12 ], and [T22 ] in terms of linearized Z-parameters are, respectively, derived in (72)–(75). [T11 ] and [T21 ] are obtained by assuming [B2 ] = [0]. [T12 ] and [T22 ] are obtained by assuming [ A2 ] = [0] [Z 12] 1 [Z 11] + −[Id ] (Z c [Z 21]−1 −[Z 21]−1 [Z 22 ]) [T11] = 2Z c 2 Zc (62) [Z 12] 1 [Z 11] [T21] = + +[Id ] (Z c [Z 21]−1 −[Z 21]−1 [Z 22 ]) 2Z c 2 Zc (63) [Z ] ] 1 [Z 22 12 +[Id ] − [T12 ] = ([Z 11] − Z c [Id ])[Z 21]−1 2 Zc 2Z c (64) 1 [Z 12] −1 [Z 22 ] [T12 ] = ([Z 11] − Z c [Id ])[Z 21] +[Id ] − . 2 Zc 2Z c (65) The expressions of linearized H-, G-, ABCD-, and Y parameters in terms of linearized Z-parameters are derived and presented, respectively, in the following equations: [H11] = [Z 11 ] − [Z 12 ][Z 22 ]−1 [Z 21] [H21] = −[Z 22 ]−1 [Z 21 ]
(66) (67)
(56)
[H12] = [Z 12 ][Z 22]−1 [H22] = [Z 22 ]−1
(68) (69)
(57)
[G 11 ] = [Z 11 ]−1
(70)
(55)
ESSAADALI et al.: CONVERSION RULES BETWEEN X-PARAMETERS AND LINEARIZED TWO-PORT NETWORK PARAMETERS
[G 21 ] = [Z 21 ][Z 11]−1 −1
[G 12 ] = −[Z 11 ] [Z 12 ] [G 22 ] = [Z 22 ] − [Z 21 ][Z 11]−1 [Z 12 ] [ A] = [Z 11 ][Z 21] [C] = [Z 21 ]−1
−1
[B] = [Z 12 ] − [Z 11 ][Z 21]−1 [Z 22 ] [D] = −[Z 21 ]−1 [Z 22 ] [Ynonlin] = [Z nonlin]−1 .
(71) (72) (73) (74) (75) (76) (77) (78)
For the remaining conversion rules, to derive wave-based linearized network parameters ([X] or [T ]) [ A1 ], [ A2 ], [B1 ] or [B2 ] have to be set to [0]. And, to derive voltage/current-based linearized network parameters, [V1 ], [V2 ], [I1 ], or [I2 ] have to be set to [0]. C. Conversion From Linearized Y- to X-, Linearized T-, H-, G-, ABCD- and Z-Parameters The expressions of X-, linearized T -, H-, G-, ABCD- and Z-parameters in terms of linearized Y -parameters are formulated in the following expressions: [X] = [[I d] − Z c [Ynonlin]][Z c [Ynonlin] + [I d]]−1 [Id ] 1 [T11 ] = ([Id ] − Z c [Y11 ]) [Y21 ]−1 − [Y22 ] 2 Zc 1 − Z c [Y12 ]. 2 1 −1 [Id ] − [Y22 ] [T21 ] = (Z c [Y11 ] + [Id ]) [Y21 ] 2 Zc 1 + Z c [Y12 ] 2 1 [T12 ] = (Z c [Y11 ] − [Id ]) [Y21 ]−1 2 [Id ] × + [Y22 ] − Z c [Y12 ] Zc 1 [T22 ] = Z c [Y12 ] − (Z c [Y11 ] + [Id ]) [Y21 ]−1 2 [Id ] × + [Y22 ] Zc [H11] = [Y11 ]−1 [H21] = [Y21 ][Y11 ]−1
(79)
(80)
(81)
[Z 21] = [C]−1 [Z 12] = [B] − [ A][C]−1 [D]
(106) (107)
[Z 22] = −[C]−1 [D] [Y11 ] = [D][B]−1
(108) (109) (110)
[Y12 ] = [C] − [D][B] [Y22 ] = −[B]−1 [ A]
[ A]
(111) (112)
[H11] = [B][D]−1 [H21] = [D]−1
(113) (114)
(83)
[H12] = [ A] − [B][D]−1 [C] [H22] = −[D]−1 [C]
(115) (116)
(84) (85)
[G 11] = [C][ A]−1 [G 21] = [ A]−1
(117) (118)
[G 12 ] = [D] − [C][ A]−1 [B] [G 22 ] = −[ A]−1 [B].
(119) (120)
(86) (87)
[G 11 ] = [Y11 ] − [Y12 ][Y22 ]−1 [Y21 ] [G 21 ] = −[Y22 ]−1 [Y21 ]
(88) (89)
[G 12 ] = [Y12 ][Y22 ]−1 [G 22 ] = [Y22 ]−1
(90) (91)
[ A] = −[Y21 ]−1 [Y22 ]
(92)
[C] = [Y12 ] − [Y11 ][Y21 ]−1 [Y22 ] [B] = [Y21 ]−1
(93) (94)
[D] = [Y11 ][Y21 ] [Z nonlin] = [Ynonlin]−1 .
The expressions of X, linearized T -, Z-, Y -, H-, and G-parameters in terms of linearized ABCD-parameters are derived in the following equations: −1 [B] [B] [ A]− + Z c [C]−[D] −[Id ] [X 11 ] = 2 [ A]− Zc Zc (97) −1 [B] + Z c [C] − [D] (98) [X 21 ] = 2 [ A] − Zc [B] [B] (99) [X 12 ] = [ A] + + [ A] − [X 22 ] Zc Zc −1 [B] [X 22 ] = − [ A] − + Z c [C] − [D] Zc [B] · [ A] + + Z c [C] + [D] (100) Zc 1 (101) [T11] = ([ A] + 1/Z c [B] − Z c [C] − [D]) 2 1 (102) [T21] = ([ A] + 1/Z c [B] + Z c [C] + [D]) 2 1 (103) [T12 ] = ([ A] − 1/Z c [B] − Z c [C] + [D]) 2 1 (104) [T22 ] = ([ A] − 1/Z c [B] + Z c [C] − [D]) 2 [Z 11] = [ A][C]−1 (105)
−1
[H12] = −[Y11 ]−1 [Y12 ] [H22] = [Y22 ] − [Y21 ][Y11 ]−1 [Y12 ]
−1
D. Conversion From Linearized ABCD- to X-, Linearized T-, Z-, Y-, H-, and G-Parameters
[Y21 ] = [B]−1 (82)
4751
(95) (96)
E. Conversion From Linearized T- to X-, Linearized ABCD-, Z- Y-, H-, and G-Parameters The expressions of X, linearized ABCD-, Z-, Y -, H-, and G-parameters in terms of linearized T -parameters are derived in the following equations: [X 11 ] = [T12 ][T22]−1 [X 12 ] = [T11] − [T12 ][T22]−1 [T21 ]
(121) (122)
[X 21 ] = [T22 ]−1
(123)
4752
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
[X 22 ] = −[T22 ]−1 [T21 ] 1 [ A] = ([T11] + [T12 ] + [T21 ] + [T22 ]) 2 1 [C] = ([T21] + [T22 ] − [T11 ] − [T12 ]) 2Z c Zc ([T11] − [T12 ] + [T21 ] − [T22 ]) [B] = 2 1 [D] = ([T21] − [T22 ] − [T11 ] + [T12 ]) 2 [Z 11 ] = Z c ([Id ] + 2[[T11] + [T12 ]] · [[T21] + [T22 ] − [T11 ] − [T12 ]]−1 ) [Z 21 ] = 2Z c [[T21] + [T22 ] − [T11 ] − [T12 ]]−1
(124) (125) (126) (127) (128) (129) (130)
[Z 12 ] = Z c [[T21] − [T22 ]] + Z c [[T21] + [T22 ]] · [[T11] + [T12 ] − [T21] − [T22 ]]−1 · [[T21] − [T22 ] − [T11] + [T12 ]]
(131)
[Z 22 ] = Z c [[T11] + [T12 ] − [T21 ] − [T22 ]]−1 · [[T21] − [T22 ] − [T11] + [T12 ]] 1 [[T21] − [T22 ] − [T11 ] + [T12 ]] [Y11 ] = Zc
(132)
· [[T11] − [T12 ] + [T21] − [T22 ]]−1 1 [Y22 ] = − [[T11] − [T12 ] + [T21] − [T22 ]]−1 Zc · [[T11] + [T12 ] + [T21] + [T22 ]] 2 [[T11] − [T12 ] + [T21 ] − [T22 ]]−1 [Y21 ] = Zc 1 [Y12 ] = ([T21] + [T22 ]. − [[T21] − [T22 ]] Zc
(133)
(134) (135)
(136) (137)
[H21] = 2[[T21] − [T22 ] − [T11] + [T12 ]]−1 [H12] = [T11 ] + [T12 ] + [[T11 ] − [T12 ]]
(138)
· [[T11] − [T12 ] − [T21] + [T22 ]]−1 (139)
(140)
· [[T11] + [T12 ] + [T21] + [T22 ]]−1
(141)
−1
[G 21 ] = 2[[T11] + [T12 ] + [T21] + [T22 ]] [G 22 ] = −Z c [[T11] + [T12 ] + [T21] + [T22 ]]−1
(142)
· [[T11] + [T21 ] − [T12 ] − [T22 ]] [G 12 ] = [T21 ] − [T22 ] − [[T21 ] + [T22 ]]
(143)
· [[T11] + [T12 ] + [T21] + [T22 ]]−1 · [[T11] + [T21 ] − [T12 ] − [T22 ]].
(145) −1 [G 22 ] [G 21 ] [X 21 ] = [Id ]+ Zc [Id ] [G 12 ] × [Id ]+ · [G 11 ]+ − Zc Zc −1 [G 22 ] −1 × [Id ]+ [G 21 ] Zc [Id ] [G 12 ] [G 22 ] −1 [Id ]+ · −[G 11]+ [G 21 ] Zc Zc Zc
(144)
−1
(146) −1
[Id ] [G 12 ] [G 22 ] [Id ]+ − [G 21] Zc Zc Zc [G 12 ] [G 22 ] −1 [G 22 ] [Id ]+ · −[Id ] −[Id ] Zc Zc Zc
[G 22 ] −1 [X 22 ] = [Id ]+ Zc
· [[T21] − [T22 ] − [T11] + [T12 ]]−1
· [[T21] + [T22 ] − [T11] − [T12 ]] 1 [H22] = [[T11] − [T12 ] − [T21 ] + [T22 ]]−1 Zc · [[T21] + [T22 ] − [T11] − [T12 ]] 1 [[T21] + [T22 ] − [T11 ] − [T12 ]] [G 11 ] = Zc
The expressions of X-, linearized T -, Z-, Y -, ABCD-, and H-parameters in terms of linearized G-parameters are derived in the following expressions: −1 [Id ] [G 12 ] [G 22 ] −1 − [G 21] [Id ]+ [X 11 ] = [G 11 ]+ Zc Zc Zc [Id ] [G 12 ] [G 22 ] −1 · −[G 11]+ [G 21 ] [Id ]+ Zc Zc Zc
[X 12 ] = [G 11 ]+
· [[T11] − [T12 ] + [T21] − [T22 ]]−1 · .[[T11] + [T12 ] + [T21] + [T22 ]]) [H11] = Z c [[T11] + [T21] − [T12 ] − [T22 ]]
F. Conversion From Linearized G- to X-, Linearized T-, Z-, Y- ABCD-, and H-Parameters
(147)
[Id ] [G 12 ] − Zc Zc −1 [G 22 ] −1 × [Id ]+ [G 21 ] Zc [G 12 ] [G 22 ] −1 [G 22 ] · −[Id ] −[Id ] [Id ]+ Zc Zc Zc [G 22 ] ·+ −[Id ] Zc (148) 1 [G ] 22 −1 [T11] = [[Id ] − Z c [G 11]] [G 21 ] [Id ]− −[G 12] 2 Zc (149) 1 [G 22 ] −1 [[Id ]+ Z c [G 11 ]] [G 21 ] [Id ]− +[G 12 ] [T21] = 2 Zc (150) ] 1 [G 22 −1 [Id ]+ +[G 12] [T12 ] = [[Id ] − Z c [G 11]] [G 21 ] 2 Zc (151) ] 1 [G 22 −1 [[Id ]+ Z c [G 11 ]] [G 21 ] [Id ]+ −[G 12 ] [T22 ] = 2 Zc (152) × [G 21 ] · [G 11 ]+
ESSAADALI et al.: CONVERSION RULES BETWEEN X-PARAMETERS AND LINEARIZED TWO-PORT NETWORK PARAMETERS
[Z 11 ] = [G 11 ]−1
(153) −1
[Z 22 ] = [H22]−1 −1
4753
(173)
[Z 21 ] = [G 21 ][G 11 ] [Z 12 ] = −[G 11]−1 [G 12 ]
(154) (155)
[Y11 ] = [H11] [Y21 ] = [H21][H11]−1
(174) (175)
[Z 22 ] = [G 22 ] − [G 21 ][G 11 ]−1 [G 12 ] [Y11 ] = [G 11 ] − [G 12 ][G 22 ]−1 [G 21 ]
(156) (157)
[Y12 ] = −[H11]−1 [H12] [Y22 ] = [H22]−[H21][H11]−1 [H12]
(176) (177)
[Y21 ] = −[G 22 ]−1 [G 21 ] [Y12 ] = [G 12 ][G 22 ]−1
(158) (159)
[ A] = [H12]−[H11][H21]−1 [H22] [B] = [H11][H21]−1
(178) (179)
[Y22 ] = [G 22 ]−1 [ A] = [G 21 ]−1
(160) (161)
[C] = [G 11 ][G 21 ]−1
(162)
[B] = −[G 21]−1 [G 22 ] [D] = [G 12 ] − [G 11 ][G 21 ]−1 [G 22 ]
(163) (164)
[Hnonlin] = [G nonlin]−1 .
(165)
G. Conversion From Linearized H- to X-, Linearized Z-, Y- ABCD-, T-, and G-Parameters The expressions of X-, linearized Z-, Y -, ABCD-, T -, and G-parameters in terms of linearized H-parameters are derived in the following equations: −1 −1 [H21] [H11] [Id ] [X 11 ] = [Id ]+ −[H12] +[H22] Zc Zc Zc −1 [H11] [Id ] [H21] · −[Id ]−[H12] +[H22] Zc Zc Zc (166) 2 [H21] [Id ] [X 12 ] = − − +[H22] [H12]−1 Zc Zc Zc [H11] −1 × [Id ]+ (167) Zc −1 Id [H21] [X 21 ] = +[H22] Z Zc ⎧c −1 −1 ⎨ [H11] [Id ] [H21] · [I ]+ −[H12] +[H22] ⎩ d Zc Zc Zc −1 [H21] [H11] [Id ] · −[Id ]−[H12] +[H22] Zc Zc Zc ⎫ ⎬ − [Id ] (168) ⎭ 2 [H11] −1 [Id ]+ [X 22 ] = − [H12] Z Zc c [H21] [Id ] · − +[H22] [H12]−1 Zc Zc [H11] −1 × [Id ]+ − [Id ] (169) Zc [Z 11] = [H11]−[H12][H22]−1 [H21] (170) −1 (171) [Z 21] = −[H22] [H21] [Z 12] = [H12][H22]−1
(172)
[C] = −[H21]−1 [H22] (180) −1 (181) [D] = [H21] 1 [H11] [T11 ] = −[Id ] [H21]−1 [H12]+ Z c 2 Zc [Id ] × −[H22] (182) Zc 1 [H11] −[Id ] [H21]−1 [[Id ]− Z c [H22]] [T21 ] = [H12]+ 2 Zc (183) 1 [H11] −1 [T12 ] = −[Id ] [H21] [[Id ]+ Z c [H22]] [H12]− 2 Zc (184) 1 [H11] [H12]− [T22 ] = +[Id ] [H21]−1 [[Id ]+ Z c [H22]] 2 Zc (185) [G nonlin] = [Hnonlin]−1 .
(186)
IV. L INEAR AND L INEARIZED O PERATION M ODE VALIDATION OF C ONVERSION RULES B ETWEEN THE L INEARIZED T WO -P ORT N ETWORK PARAMETERS In order to not burden this paper with the validation of all conversion rules between the linearized network parameters, we will limit this section to the validation of the equations giving linearized Z-parameters. The validation procedure of the remaining conversion rules between Xparameters, linearized Y -parameters, linearized Z-parameters, linearized ABCD-parameters, linearized T -parameters, linearized G-parameters, and linearized H-parameters is done with the same manner and good results are obtained for all of them. The process of the validation is explained by the flow graph illustrated in Fig. 2. To verify the conversion rules from the linearized two-port network parameters to Z-parameters, Fig. 3 illustrates the amplitude and phase of Z-parameters determined from X-, linearized Y -, linearized ABCD-, linearized T -, linearized G-, and linearized H-parameters. The validation procedure consists on generating X-parameters of the PA that is represented by its ADS model based on measurement file “ZX602522M_X2P.xnp” from Keysight Technologies Inc. X-parameter data are then transformed, by using the conversion rules presented in Section III, to the remaining linearized network parameters. Then, the obtained linearized network parameters data are transformed to Z-parameters. The linearized Z-parameters data determined from linearized Y -, Z-, G-, H-, ABCD-,
4754
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 3. (a) Amplitude and (b) phase of a set of Z-parameters converted from X-, linearized Y -, ABCD-, T -, H-, and G-parameters.
Fig. 2. Validation process of conversion rules between linearized two-port network parameters.
and T -parameters are then compared. For a 2-port circuit and considering the first three harmonics, 72 linearized impedance terms could be obtained. In order to keep the β figures legible, only six arbitrary terms are presented: Z 2322, β β α , Zα , Z α Z 2222, Z 1212 1123 2121 , and Z 1322 . Fig. 3 illustrates a very good agreement between Z-parameters calculated from X-parameters and the remaining linearized two-port network parameters. In the advanced design system (ADS), a frequency-domain defined (FDD) component enables the spectral values of current and voltage to be expressed in
terms of other harmonic components of voltages and currents through algebraic relationships. FDD components can describe input and output voltage components or current components; therefore, the relation between the input or output spectral component voltages and currents is required. FDD components can describe input and output voltage components or current components; therefore, nonlinear network parameters can be implemented in ADS. A data access component is used to get access to the values of the nonlinear impedances that are extracted from X-parameter measurements file of an unpackaged CMOS transistor in a cascode configuration. Both of nonlinear parameters and X-parameters are extracted under the same conditions, i.e., bias, input power and impedance terminations at the fundamental and the harmonics in source and load sides. Harmonic balance simulation is carried out to simulate and deduce the transducer gain of an unpackaged cascade device under different load terminations using both X- and linearized Z-parameters. Both X and linearized Z-parameters lead to the same values as shown in Fig. 4. X-parameters reduce to S-parameters when the device is operated in linear mode. For small |a11| (linear operation), the expressions of nonlinear parameters terms vanish to linear network parameters. Besides, in linear operation mode, conversion rules between nonlinear parameters are also reduced to their linear
ESSAADALI et al.: CONVERSION RULES BETWEEN X-PARAMETERS AND LINEARIZED TWO-PORT NETWORK PARAMETERS
4755
Fig. 4. Comparison between transducer gain obtained at different loads: Z load1 (fund) = 6.25 + j0.198 , Z load2 (fund) = 52.23 + j0.57 , and Z load3 (fund) = 10.89 + j50.227 . The impedance at the second harmonic is Z load (2∗ fund) = 6.18 . The impedance at the higher harmonic components is arbitrary.
Fig. 5.
Cascade connection of two nonlinear networks.
counterparts [13]. Nonlinear T -parameters can be used to model a cascaded configuration of nonlinear systems. The cascaded configuration of two nonlinear components is illustrated in Fig. 5. The relations between a and b waves through nonlinear T -parameters of the first and second nonlinear components are expressed as B1x;x=a,b A2x;x=a,b = Tnonlinx;x=A,B . (187) A1x;x=a,b B2x;x=a,b Multiharmonic wave vector [B2a ] scattered at the output of the first nonlinear component is equal to multiharmonic wave vector [ A1b ] incident to the second nonlinear components. Moreover, multiharmonic wave vector [B1b ] scattered at the input of the second nonlinear component is equal to multiharmonic wave vector [ A2a ] incident to the second nonlinear components at its output. Thus, [[ A2a ], [B2a ]]T is equal to [[B1b ], [ A1b ]]T , and the relation between a and b waves of the whole system is given by [B1a ] [ A2b ] = [TnonlinA] × [TnonlinB] . (188) [ A1a ] [B2b ] The equivalent nonlinear T -parameters are the products of nonlinear T -parameters of both nonlinear components (189) Tnonlin_Eq = [TnonlinA] × [TnonlinB] . The validity of analytical cascaded nonlinear T -parameters was evaluated by measuring the X-parameters of a nonlinear
Fig. 6. Comparison between the (a) logarithmic amplitude and the (b) phase of a random set of nonlinear T -parameters calculated from the X-parameters of a cascaded system and those calculated through the cascaded nonlinear T expressions in (189).
cascaded system of two mini-circuits PAs, ZFL11AD and ZX602522M. X-parameters simulations of xnp measurement files in ADS simulator software could be sufficient to validate the cascade behavior. The .xnp files are in generic MDIF file format. They contain the multi-dimensional measured X-parameters. X-parameters simulations are used to generate X-parameters data of the whole cascaded system and of each individual component under the same conditions as when it is in the cascaded network. The comparison between the amplitudes and phases in Fig. 6 demonstrates a good agreement between the nonlinear T -parameters calculated from the X-parameters of the cascaded system and the results of the multiplication of nonlinear T matrices calculated from the X-parameters of each component. In Fig. 6, only seven arbiβ α , Tα , Tβ , Tβ , trary terms are considered: T1311, T1211 1221 1321 2112 α and T2221. V. C ONCLUSION X-parameters are superset of S-parameters useful to characterize linear and nonlinear circuits operating in small- and large-signal regimes. However, in its form, X-parameters are not suitable for the analytic analysis of different network configurations. Other linearized network two-port parameters (linearized Z-parameters, linearized Y -parameters, linearized ABCD-parameters, linearized T -parameters, linearized
4756
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
G- parameters, and linearized H-parameters are able to characterize any network topology. They can describe any topology of all linear, all nonlinear or a mixed of linear and nonlinear components. Most of these parameters use harmonic voltage and current as dependent and independent parameters. Therefore, it is impractical to measure them especially at higher frequency. The unique available measurement tool is a mixerbased NVNA [14] introduced by Keysight Technologies. It is a combination of NVNA with X-parameters [4]. To get the values of the linearized network parameters, measured or simulated X-parameters data can be used jointly to the conversion rules derived in this paper. ACKNOWLEDGMENT The authors would like to thank Dr. J. Verspecht from Keysight technologies, Santa Rosa, CA, USA, and Prof. J. E. Schutt-Ainé from the Department of Electrical and Computer Engineering, University of Illinois at Urbana– Champaign, Champaign, IL, USA, for their fruitful discussions and recommendations. R EFERENCES [1] J. Verspecht and D. E. Root, “Polyharmonic distortion modeling,” IEEE Microw. Mag., vol. 7, no. 3, pp. 44–57, Jun. 2006. [2] J. Verspecht, D. F. Williams, D. Schreurs, K. A. Remley, and M. D. McKinley, “Linearization of large-signal scattering functions,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1369–1376, Apr. 2005. [3] J. Verspecht, “Large-signal network analysis,” IEEE Microw. Mag., vol. 6, no. 4, pp. 82–92, Dec. 2005. [4] J. Horn, D. Gunyan, L. Betts, C. Gillease, J. Verspecht, and D. E. Root, “Measurement-based large-signal simulation of active components from automated nonlinear vector network analyzer data via X-parameters,” in Proc. IEEE Int. Conf. Microw., Commun., Antennas Electron. Syst. (COMCAS), May 2008, pp. 1–6. [5] M. Fernandez-Barciela, A. M. Pelaez-Perez, S. Woodington, J. I. Alonso, and P. J. Tasker, “Stretching the design: Extending analytical circuit design from the linear to the nonlinear domain,” IEEE Microw. Mag., vol. 15, no. 6, pp. 106–120, Sep./Oct. 2014. [6] A. M. Pelaez-Perez, J. I. Alonso, M. Fernandez-Barciela, A. Rodriguez-Testera, P. J. Tasker, and S. Woodington, “Experimental verification of analytical design equations based on X-parameters for predicting role of series feedback,” in Proc. 6th Eur. Microw. Integr. Circuit Conf. (EuMIC), Oct. 2011, pp. 148–151. [7] R. Essaadali, A. Jarndal, A. B. Kouki, and F. M. Ghannouchi, “A new GaN HEMT equivalent circuit modeling technique based on X-parameters,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 9, pp. 2758–2777, Sep. 2016. [8] T. M. Martín-Guerrero, J. T. Entrambasaguas, and C. Camacho-Peñalosa, “Poly-harmonic distortion model extraction in charge-controlled oneport devices,” in Proc. 12th Eur. Microw. Integr. Circuits Conf., Oct. 2017, pp. 252–255. [9] D. E. Root, J. Xu, J. Horn, M. Iwamoto, and G. Simpson, “Device modeling with NVNAs and X-parameters,” in Proc. Workshop Integr. Nonlinear Microw. Millim.-Wave Circuits, Goteborg, Sweden, Apr. 2010, pp. 12–15. [10] M. S. Gupta, “What is RF?” IEEE Microw. Mag., vol. 2, no. 4, pp. 12–16, Dec. 2001. [11] D. Schreurs, M. O’Droma, A. A. Goacher, and M. Gadringer, RF Power Amplifier Behavioral Modeling. New York, NY, USA: Cambridge Univ. Press, 2009. [12] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Standards Technol., vol. 97, no. 5, pp. 533–562, Oct. 1992. [13] D. M. Pozar, Microwave Engineering. Hoboken, NJ, USA: Wiley, 2011. [14] D. E. Root, J. Verspecht, J. Horn, and M. Marcu, X-Parameters: Characterization, Modeling, and Design of Nonlinear RF and Microwave Components. Cambridge, U.K.: Cambridge Univ. Press, 2013.
Riadh Essaadali (M’12) received the B.Eng. degree in wireless communications from the École Supérieure des Communications de Tunis, Ariana, Tunisia, in 2006, and the M.Sc. and Ph.D. degrees in electrical engineering from the École de technologie supérieure (ÉTS), Montreal, QC, Canada, in 2009 and 2015, respectively. He was a Radio Frequency Engineer with Divona Telecom, London, U.K., in 2006, and then with Ultra Electronics TCS, Montreal, QC, Canada, from 2011 to 2012. Since 2007, he has been with the LACIME Laboratory, ÉTS. He was a Teaching Assistant with ÉTS from 2009 to 2013, and the Université du Québec à Montréal, Montreal, from 2008 to 2011. He was a Lecturer with ÉTS from 2010 to 2015, and the Université de Sherbrooke, Sherbrooke, QC, Canada, from 2015 to 2016. From 2012 to 2014, he was a Test and Validation Engineer with Ultra Electronics TCS. He was a Research Associate with the NSERC-ULTRA Electronics Chair from 2013 to 2014. He was a Consultant Radio Frequency Engineer with Vigilant Global, Montreal, in 2015. In 2016, he was a Research Engineer with Comprod Inc., Boucherville, QC, Canada. From 2016 to 2017, he was a Senior Radio Frequency Processing Engineer with Aviat Networks, Montreal. He is currently a Staff Device Modeling Engineer with psemi, a murata company, San Diego, CA, USA. His current research interests include 5G and millimeter-wave device modeling and RF IC design. Anwar Jarndal (S’04–M’07) received the Ph.D. degree in electrical engineering from the University of Kassel, Kassel, Germany, in 2006. From 2007 to 2011, he was an Assistant Professor with the Department of Computer Engineering, Hodeidah University, Hodeidah, Yemen. In 2008, he was a Post-Doctoral Fellow with the Ecole de technologie superieure, Quebec University, Montreal, QC, Canada. From 2011 to 2013, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Nizwa, Nizwa, Oman. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, University of Sharjah, Sharjah, United Arab Emirates. He has authored over 60 internationally peer-reviewed publications. His current research interests include active devices modeling, measurements and characterization techniques, power and low-noise amplifiers design, local and global optimizations, artificial neural networks modeling, fuzzy logic modeling, and wireless-power-transfer and radio channel modeling. Dr. Jarndal is a member of Reviewing Boards of many international journals and conferences. Ammar B. Kouki (S’88–M’92–SM’01) received the B.S. (Hons.) and M.S. degrees in engineering science from Pennsylvania State University, State College, PA, USA, in 1985 and 1987, respectively, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana–Champaign, Champaign, IL, USA, in 1991. He is currently a Full Professor of electrical engineering and the Founding Director of the LTCC with the ÉTS Laboratory, École de technologie supérieure, Montreal, QC, Canada. His current research interests include modeling, simulation and design of active and passive microwave and millimeter-wave devices and circuits, intelligent and efficient RF front-ends, 3-D circuits in LTCC, applied computational electromagnetics, RFID and wireless powering of biosensors, and antennas and propagation modeling. Fadhel M. Ghannouchi (S’84–M’88–SM’93– F’07) is currently a Professor, an Alberta Innovate Strategic Chair of Intelligent RF Technology, a Canada Research Chair of Green Radio Systems, and the Director of iRadio Lab, University of Calgary, Calgary, QC, Canada. He is also a parttime 1000 Talent Professor with the Department of Electronic Engineering, Tsinghua University, Beijing, China. He is the co-founder of three university spin-off companies. He has authored more than 750 referred papers and 6 books and holds 20 U.S. patents. His current research interests include microwave, wireless, and optical communications. Mr. Ghannouchi is a Fellow of the Institution of Engineering and Technology, the Canadian Academy of Engineering, the Engineering Institute of Canada, and the Academy of Science of the Royal Society of Canada.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Theoretical Analysis of RF Pulse Termination in Nonlinear Transmission Lines Mohammad Samizadeh Nikoo , Member, IEEE, Seyed Morad-Ali Hashemi , and Forouhar Farzaneh, Senior Member, IEEE
Abstract— Nonlinear transmission lines (NLTLs) are passive networks with the capability of modulating baseband pulses. These networks are used for generating RF pulses at high-power levels. NLTLs have a unique performance in high-power RF pulse generation among all the generators based on the solid-state technology. A high-power rectangular pulse is injected to the line, gradually evolved into an oscillatory pulse while propagating through the network, and finally, in the form of a high-power RF pulse, is delivered to the load. Properly terminating an NLTL, in order to transfer the maximum available RF power to the load, is an important problem. In this paper, an accurate analysis is presented, which gives a closed-form formula for the output RF power in lossy NLTLs. Using this analysis, optimal resistive terminating load for RF applications of NLTLs is extracted. The output central frequency is also investigated in this paper. All the obtained theoretical results are verified by SPICE simulations and measurements in two implemented NLTLs. Index Terms— Nonlinear transmission lines (NLTLs), optimal load, RF power, RF pulse generation.
I. I NTRODUCTION
T
HERE is a considerable attention to the study of nonlinear transmission lines (NLTLs) due to their performance in supporting wide bandwidths, with pulses of short rise times and cutoff frequencies over hundreds of gigahertz [1]. Besides their low-power applications in passive intermodulators [2] and electrical oscillators [3], their application in high-power RF pulse generation is of great importance. In general, all problems related to high-frequency and high-power systems are important and have been studied by Chang et al. [4] and Yan and Jin [5]. An important part of these systems is the generation of such RF pulses, itself. NLTLs do not have some common shortcomings of solid-state RF generators. There are no active elements in an NLTL. There is no bias, as well. This makes it possible to reach high-voltage and high-current pulses. The performance of NLTLs is considerably better than other types of solid-state RF generators. High-power RF generation using power amplifiers (PAs) gives more flexibility in the generated
Manuscript received April 15, 2018; revised July 1, 2018; accepted July 17, 2018. (Corresponding author: Mohammad Samizadeh Nikoo.) M. Samizadeh Nikoo and F. Farzaneh are with the Department of Electrical Engineering, Sharif University of Technology, Tehran 11155-8639, Iran (e-mail:
[email protected];
[email protected]). S. M.-A. Hashemi is with the Electromagnetics Research Laboratory, Sharif University of Technology, Tehran 16846-13114, Iran (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2865952
waveforms compared to the NLTLs. Devices based on GaN can operate at a high temperature, high voltage, and high frequency [6], enabling one to generate efficient high-power RF signals [7], [8]. However, the output power of PA-based RF pulse generators even by combining a large number of PAs is typically less than 100 kW [9], whereas NLTLs can be used for generating RF pulses with more than 10-MW peak powers [10]. Based on the theory of semiconductor devices, there are some well-known techniques for designing PAs. Analytical formulas for various types of transistor amplifiers are of the most common relations in electronics. However, there was no integrated theory of RF generation through NLTLs in the literature of the field. As a result, there have not been adequate analytical relations to predict the performance of an NLTL, yet. This can be considered as a serious shortcoming in NLTL-based systems theory. There are many experimental works in the literature without any theoretical basis. Having analytical relations will considerably ease the design of such structures without any trial-and-error effort. In this paper, a lossy NLTL terminated by an ohmic load is considered. The line is excited by a voltage source. At the end of the line, an RF pulse is absorbed by the load. The main characteristics of the output pulses are considered in our analysis. Based on the obtained results, the optimal load for RF applications will be determined. In Section II, a brief review of our previous works in the field of NLTL analytical characterization, as a required basis of this paper, is performed. In Section III, the optimal load resistance of NLTLs for RF applications is investigated. A closed-form relation is presented, which relates the NLTL optimal load resistance to the characteristic impedance of the network. The output RF power and also the amplitude of the output RF pulse in an NLTL are formulated in Section IV. Section V is dedicated to the output central frequency of the network. Two NLTL prototypes with different components and different lengths were implemented. All of the obtained theoretical results are verified by SPICE simulations and experimental measurements. II. R EVIEW OF P REVIOUS W ORKS NLTLs with identical linear inductors and nonlinear capacitors are considered in our analysis. Fig. 1(a) shows three stages of the considered structure. The C–V characteristic curve of the employed capacitors has a significant effect on the properties of NLTLs. An efficient C–V characteristic
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
investigated the matching efficiency of the NLTLs and have represented it by a closed-form relation given as 1.94R L 1.445 +0.5 − 0.42 Z NLTL ( A) (6) η= 1 + 0.33R L /Z NLTL(A)
Fig. 1.
(a) Three sections of an NLTL. (b) Segment of a lossy NLTL.
should have a good capability of fitting practical characteristics. Reverse-biased varactors and ceramic capacitors are two types of nonlinear capacitors that are widely used in the implementation of NLTLs [11], [12]. Nikoo and Hashemi [12] have shown that the C–V characteristics C0 C(v) = √ (1) 1 + v/V0 are a proper choice for modeling the nonlinearity of the practical capacitors. C0 and V0 are the zero bias capacitance and the reference voltage in (1), respectively. In the first study in [12], the soliton pulse propagation through an NLTL was investigated. Extending the previous studies, it was demonstrated that the Korteweg–de Vries (KdV) equation cannot describe the wave propagation on NLTLs with enough accuracy. In [12], it was shown that the single-soliton solution of the NLTL, as shown in Fig. 1(a), is given as 0.96t 2 − 1.6n (2) v(n, t) = Asech √ LC(A) where v(n, t) is the voltage of the nth node at time t, and A is the amplitude of the soliton. These solitons exhibit velocities proportional to their amplitudes but in a different way compared to the KdV. For determining the large signal characteristic impedance of the NLTLs, Nikoo and Hashemi [13] have introduced a theoretical approach. There were some inaccurate relations in the literature. These inaccuracies were corrected by introducing an enough more exact formula of NLTL characteristic impedance as given as L . (3) Z NLTL(v) = 0.73 C(v) Nikoo and Hashemi [13] have also analyzed the energy injected into an NLTL by a rectangular pulse. It was assumed that the line is excited by a rectangular pulse with amplitude and pulsewidth of Ai and Wi , respectively. It was also assumed that the voltage source has a series resistance R S . First, the input current of NLTL was derived as Ai 2t i S (t) = 1 − sin c √ (4) Z NLTL(Ai ) + R S LC(Ai ) which is valid for t > 0. Then, the injected energy was extracted using (4) √ A2i Wi /Z NLTL(Ai ) Si(2Wi / LC(Ai )) Ei = 1− √ . (5) (1 + R S /Z NLTL (Ai ))2 2Wi / LC(Ai ) Nikoo et al. [14] have presented a theory of terminated NLTLs for single-soliton solutions. They have analytically
where A and R L are the incident soliton amplitude and the terminating load of the NLTL, respectively. They have also obtained the reflection coefficient () of NLTLs based on (6). They have shown that
2/3 1.94R L 1.445 +0.5 − 0.42 Z NLTL ( A) . (7) = 1− L 1 + Z0.33R ( A) NLTL The optimal load, absorbing the maximum energy from a single soliton, was derived as R WB L ,opt = 0.68Z NLTL(A).
(8)
The superscript wideband (WB) in (8) emphasizes the fact that this formula is applicable only for single solitons, which mainly have WB applications in ultrafast electronics [15] and pulsed power [16]. All of the results presented in [12] and [14] are specifically applicable to the single-soliton regime. The results obtained in [13], however, are general and not limited to a certain category of solutions. In [17], we have theoretically investigated the problem of RF generation by NLTLs. Describing the RF signal generation and propagation along the line was the main goal of [17]. As a result, we have developed a theory for an infinite length NLTL. Three main parameters of the generated RF pulses were investigated: the RF amplitude, the central frequency, and the maximum voltage. The duration of the RF pulse does not change by any of these items; therefore, we assumed the line to be excited by a voltage source v S with a step waveform v S (t) = Ai u(t)
(9)
where u(t) is equal to 0 and 1 for t < 0 and t ≥ 0, respectively. Again, it was assumed that the voltage source has a series resistance R S . We obtained the input voltage of the NLTL as Z NLTL(Ai ) Ai . (10) Vi = Z NLTL(Ai ) + R S We also considered the effect of the losses in the theory. This effect was modeled by using three resistors in each section of the NLTL: Rser , Rcap , and Rpar . The model is shown in Fig. 1(b). Based on this model, we obtained three attenuation constants 2 9 Z NLTL (Vi ) Z NLTL(Vi ) Rser α3 = 7 . α2 = α1 = 3 Z NLTL (Vi ) 10 Rcap Rpar (11) We also formulated the RF amplitude and the central frequency of the generated pulses in the considered NLTL, respectively, as √ (1.3 − V0 /Vi )n 3 √ ARF = e−α1 n−α2 n−α3 n Vi (12) 3 1.6 1 + 270V0/Vi + n and
f = 0.263 +
Rpar 2150Z NLTL(Vi )
√
1 LC(Vi )
(13)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAMIZADEH NIKOO et al.: THEORETICAL ANALYSIS OF RF PULSE TERMINATION IN NLTLs
3
TABLE I S UMMARY OF P REVIOUS W ORKS
Fig. 3.
Two ending nodes of a terminated NLTL.
Fig. 4. Numerical solutions of (21)–(23) at the position of load for V˜0 = 0.1, R˜ L = 1, and (a) N = 15 and (b) N = 30.
so v L (t) = v(N, t). Regarding the notation used in this figure, for nonnegative integers n < N, we have v(n + 1, t) = v(n, t) − L
d i (n, t) dt
(15)
and Fig. 2. Generation and propagation of an RF along with a terminated NLTL. (a) Finite NLTL terminated by resistive load R L and (b) Infinite NLTL.
where n and VSS are the node number and the steady-state voltage at each node of NLTL, respectively, the latter given as Z NLTL (Vi ) n1 Rcap Vi . (14) VSS =
Z NLTL(Vi ) 1 Rcap + n Rser
C0 d i (n + 1, t) = i (n, t) − √ v(n, t). 1 + v(n, t)/V0 dt At the end of the line v(N, t) = i (N, t)R L .
III. O PTIMAL L OAD Fig. 2(a) shows a finite-length (N segments) NLTL terminated by a resistive load R L . An NLTL with the same characteristics but with infinite length is considered in Fig. 2(b). The infinite NLTL is divided into two cascaded lines. The length of the first line is identical to that of the NLTL shown in Fig. 2(a). The voltage waveform over R L (v L ) can be compared to the voltage between two NLTLs in Fig. 2(b) (v i ). One can consider v i as the incident voltage waveform. The properties of v i (t) can be predicted by (12)–(14). As shown in Fig. 2(a), the RF amplitude, the central frequency, and the steady-state voltage of v i are shown by ARFi , f i , and VSSi , respectively. In lossless lines, VSSi is equal to Vi . The properties of the load voltage, v L , will be investigated in this paper. The end-of-line stages of an NLTL, terminated by a resistor, are shown in Fig. 3. The load R L is placed at the node N
(17)
Defining the normalized time, voltage, and current as t˜ = t/ LC(VSSi )
(18)
v˜ = v/VSSi
(19)
n
For the maximum voltage over the line, an approximate relation Amax = ARF + VSS can be used. Table I summarizes the outlines of the previous works.
(16)
and i˜ = Z NLTL(VSSi )i /VSSi
(20)
respectively, and replacing (18)–(20) into (15)–(17), we have 1 d˜ i(n, t˜) 0.73 d t˜ d 0.73 i˜(n + 1, t˜) = i˜(n, t˜) − v(n, ˜ t˜) d t˜ ˜ ˜ ˜ t) V0 + v(n,
v(n ˜ + 1, t˜) = v(n, ˜ t˜) −
(21) (22)
and v(N, ˜ t˜) = R˜ L i˜(N, t˜)
(23)
where V˜0 = V0 /VSSi and R˜ L = R L /Z NLTL (VSSi ) are the normalized reference voltage and the normalized terminating load resistance of NLTL, respectively. Fig. 4 shows the numerical results of (21)–(23) using the finite-difference method [14] for two different NLTL lengths. The RF amplitude and the central frequency are the most important properties of the pulses generated over the load. Since the curves in Fig. 4 are plotted
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6. Numerical calculations of (25) for (a) A˜ RFi = 0.4, f˜i = 0.26, (b) A˜ RFi = 0.5, f˜i = 0.26, (c) A˜ RFi = 0.6, f˜i = 0.25, and (d) A˜ RFi = 0.7, f˜i = 0.25. Fig. 5. Numerical results of normalized optimal loads of NLTL (intended for RF applications) versus A˜ RFi and f˜i .
versus the normalized terms, the notations A˜ RFL = ARFL /VSSi and f˜L = f L (LC(VSSi ))1/2 are used, which represent the normalized values of the RF amplitude and central frequency, respectively. Here, we want to determine the optimal load of NLTLs, intended for RF applications. The optimal load resistance is defined to be the resistance that receives the maximum available RF power PRFL =
2 VSSi A˜ 2RFL A2RFL = . 2R L Z NLTL(VSSi ) 2 R˜ L
(24)
Here, we considered the output waveform as an oscillatory signal with a dc offset. Since VSSi is independent of the applied load resistance, one can optimize the normalized load RF power as given as P˜RFL =
A˜ 2RFL 2 R˜ L
IV. O UTPUT RF P OWER
(26)
opt
Fig. 6 (solid lines) shows the numerical results of the normalized load RF power ( P˜RFL ) versus the normalized load resistance for four different pairs of A˜ RFi and f˜i . As discussed in Section III, all of these curves approximately peaks at the opt normalized optimal load of R˜ RFL = 2.15. As shown in Fig. 6, the numerical results can be fit by max P˜RFL = P˜RFL
4 R˜ RFL R˜ L opt
opt R˜ L + R˜ RFL
2
(28)
max is the maximum value of each curve. The maxwhere P˜RFL imum load RF power corresponds to the optimal load. The relation (28) is similar to that of the linear TLs. This formula can be rewritten in nonnormalized terms as given as opt
The mean squared error of this approximation is about 1%. In nonnormalized regime, (26) can be rewritten as RRFL = 2.15Z NLTL(VSSi ).
important parameters in NLTL-based RF pulse generators, (27) can be widely used in the design of such systems.
(25)
instead of PRFL . Regarding the parameters of the problem in the normalized regime, P˜RFL is a function of the characteristics of the incident wave ( A˜ RFi and f˜i ) and the normalized applied load resistance. Exciting the RF pulses with different values of A˜ RFi and f˜i , we computed P˜RFL for different values of R˜ L . Using this technique, the normalized optimal load for each pair of A˜ RFi and f˜i was recorded. Fig. 5 (discrete points) shows the obtained numerical results. It is worth noting that in NLTLs, f˜i is not an independent parameter and varies within a relatively small range [17]. Fig. 5 shows that for all of the considered values of A˜ RFi and f˜i , the optimal load, corresponding to the maximum P˜RFL , is approximately equal to opt R˜ RFL = 2.15.
Fig. 7. RF transmission factors for optimal load, versus A˜ RFi and f˜i , numerical results (discrete points), and fitting curve (plane).
(27)
This formula shows that in contrast to linear TLs, the optimal load of NLTLs is dependent on the characteristics of the incident wave. Since the optimal load is one of the most
max PRFL = PRFL
4RRFL R L opt
R L + RRFL
2 .
(29)
Now, the RF transmission factor (TRF ) is defined as the ratio of load RF amplitude to that of the incident wave ARFL A˜ RFL = . (30) TRF = ARFi A˜ RFi
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAMIZADEH NIKOO et al.: THEORETICAL ANALYSIS OF RF PULSE TERMINATION IN NLTLs
5
In linear transmission lines, TRF depends on the ratio of R L to the characteristic impedance of the line. In NLTLs, however, TRF is also a function of the characteristics of the incident wave ( ARFi and f i ). Fig. 7 (discrete points) shows the computed values of TRF versus A˜ RFi and f˜i for the optimal terminating load. As shown in this figure, the results can be accurately fit by a plane surface. By using the least squares method, we obtain the fitting plane as TRF = 0.145 A˜ RFi − 4.06 f˜i + 1.747.
(31)
In nonnormalized terms, it can be rewritten as ARFi TRF = 0.145 − 4.06 f i LC(VSSi ) + 1.747. (32) VSSi Replacing (13) into (32), we have approximately Rpar ARFi TRF = 0.145 − 1.068 + VSSi 530Z NLTL(VSSi ) VSSi × 4 + 1.747. (33) Vi
Fig. 8. RF central frequency ratios for optimal load, versus A˜ RFi and f˜i , numerical results (discrete points), and fitting curve (plane).
Regarding (30), we can obtain ARFL | R=R opt = TRF ARFi
(34)
RFL
where ARFi is equal to ARF in (12) with n = N ARFi =
√ 3 (1.3 − V0 /Vi )N e−α1 N−α2 N−α3 N Vi . √ 3 1.6 1 + 270V0 /Vi + N
(35)
Now (34) results in max 2 PRFL = TRF
A2RFi opt
2RRFL
.
(36)
Putting (36) into (29), we obtain PRFL =
2 2 TRF ARFi
of the load ( f˜L ) is a function of the characteristics of the incident pulse ( A˜ RFi and f˜i ) at node N. Now, the central frequency ratio is defined as r f = f L / fi .
2R L opt
R L + RRFL
2 .
(37)
.
(38)
Finally, using (24), we have ARFL = TRF ARFi
Fig. 9. RF transmission factors for optimal load, versus the normalized load, numerical results (discrete points), and fitting curve (plane).
2R L opt
R L + RRFL
The recent relations represent the RF peak power and amplitude at the load R L . Equation (38) shows a similar relation to linear transmission lines with an additional factor TRF . Furthermore, (37) shows that the RF power does not completely transfer to the load even by applying the optimal load resistance. V. O UTPUT P ULSE F REQUENCY In [17], we have theoretically predicted the central frequency of the generated RF pulses at each node of a lossy NLTL. The obtained result is presented in (13). Here, the central frequency of the RF pulse at the position of the terminating load is investigated. Again, it is considered that an RF pulse with normalized amplitude and the central frequency of A˜ RFi and f˜i , respectively, reaches to a normalized load R˜ L . At the first step, it is assumed that the optimal load R˜ L = opt R˜ RFL = 2.15 is applied. Since the optimal resistance of the load is fixed, the normalized central frequency at the position
(39)
Fig. 8 (discrete points) shows the numerical results of r f for different pairs of A˜ RFi and f˜i . As shown in this figure, all of the computed values of r f are approximately equal to 1.029 that is shown by a plane surface in Fig. 8. The mean squared error of this approximation is only 0.15%. As an example, if the central frequency of the incident wave is 100 MHz, then the central frequency at the position of the load will be 102.9 MHz. As a result, it can be assumed that r f is not a function of any of the characteristics of the incident wave and is only a function of the normalized load. Fig. 9 shows the frequency ratio, r f , versus the normalized load (solid line). The value of the load resistance can change the dc content of the output signal. The change in dc level affects the capacitance of the nonlinear capacitors. As a result, we observe different values of central frequency for different values of load resistance. The relation R˜ L + 0.33 (40) rf = 0.89 R˜ L + 0.49 fits the obtained numerical results shown in Fig. 9, which can be rewritten as R L + 0.33Z NLTL(VSSi ) rf = . (41) 0.89R L + 0.49Z NLTL(VSSi )
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II I MPLEMENTED NLTL S PARAMETERS
Fig. 10.
Implemented NLTL2.
Fig. 11. (a) Capacitance versus reverse voltage of BB809, data adopted from the datasheet (discrete points), and the fitting curve (43) (continuous line). (b) Voltages obtained by measurement (solid line) and SPICE simulation results (dashed line) for NLTL2 terminated by R L = 86 .
Regarding (13), for n = N, (39) and (41), we can obtain R L + 0.33Z NLTL(VSSi ) fi . (42) 0.89R L + 0.49Z NLTL(VSSi ) This closed-form relation predicts the central frequency of the generated RF pulses over the load R L . fL =
VI. T HEORY V ERIFICATION In order to verify the accuracy of the presented analysis in the previous sections, two NLTL prototypes were implemented on printed circuit boards. In this paper, these networks are called NLTL1 and NLTL2. Measurements were carried out using MEGATEK DSO5200V 200-MHz digital storage oscilloscope with the maximum sampling rate of 109 samples/s. A 100-MHz function generator MEGATEK 3102 served as the input signal source. NLTL1 is a 30-segment line constructed by 1N5822 diodes as the nonlinear capacitors. Nonlinear equation (1) with C0 = 500 pF and V0 = 0.6 V accurately describes the C–V characteristic of this diode [17]. In NLTL2 (shown in Fig. 10), BB809 varactors were used as the nonlinear components. The capacitance versus reverse voltage curve, from the data sheet, is shown in Fig. 11(a) (discrete points). The curve 65 pF C(v) = √ 1 + v/(0.6 V)
(43)
where v is the reverse voltage in volts, fits the discrete points, as shown in Fig. 11(a). The junction capacitances of the used varactors are smaller than that of 1N5822, assuming an identical reverse voltage. Thus, in order to decrease the parasitic effects of the measurement equipment, in each segment of NLTL2, four varactors were employed in parallel. As a result, for the NLTL2, one can obtain C0 = 260 pF and V0 = 0.6 V. In both of the implemented NLTLs, 10-μH inductors from
two different manufacturers (TDK for NLTL1 and coilcraft for NLTL2) were used. Both of the NLTLs are terminated by tunable resistors to cover the range of 0 < R L < 1000 . Furthermore, the implemented NLTLs were excited by voltage level Vi = Vi1 = Vi2 = 10 V which result in Z NLTL1(Vi ) = 212 and Z NLTL2(Vi ) = 294 . Table II represents the summarized information of the implemented NLTLs. A sample measured waveform is shown in Fig. 11(b). The voltage waveform obtained by SPICE simulation is also plotted in this figure. The parameters of the RF pulses generated by NLTLs, such as RF amplitude and central frequency, were obtained by processing the recorded waveforms. All of the NLTL1 and NLTL2 parameters will be introduced with indices 1 and 2, respectively. Using (11) and regarding the parasitic elements shown in Table II, for NLTL1 and NLTL2, we can obtain 1 1 α21 = α31 = 0.20 (44) α11 = 177 524 and 1 1 α12 = α22 = α32 = 0.21 (45) 152 2154 respectively. A. Load RF Amplitude In the first study, the load RF amplitudes for the implemented NLTLs are investigated. Using (12), we have 12.4n − n −0.2 √ 3n e 132 4.13 + n 12.4n − n −0.21 √ 3n e 142 ARF2 = (46) 4.13 + n for NLTL1 and NLTL2, respectively. Therfeore, the incident RF amplitudes for NLTL1 (n = 30) and NLTL2 (n = 20) are ARFi1 = 4.7 V and ARFi2 = 5.0 V, respectively. Furthermore, using (14), we can obtain VSSi1 = 8.0 V and VSSi2 = 8.4 V. Regarding (33), we can conclude ARF1 =
TRF1 = 0.632
TRF2 = 0.651
(47)
for NLTL1 and NLTL2, respectively. Using the theoretical predictions of (27), for the optimal loads of NLTL1 and NLTL2, we have opt
RRFL1 = 432
opt
RRFL2 = 606
(48)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAMIZADEH NIKOO et al.: THEORETICAL ANALYSIS OF RF PULSE TERMINATION IN NLTLs
Fig. 12. Load RF amplitudes versus load resistances for (a) NLTL1 and (b) NLTL2. Measurement (discrete points), SPICE simulation (dashed lines), and theory (solid lines).
7
Fig. 14. Output central frequencies versus load resistances for (a) NLTL1 and (b) NLTL2. Measurement (discrete points), SPICE simulation (dashed lines), and theory (solid lines).
theoretical prediction of the central frequency of output RF pulses is presented in (42). Regarding the parameters of NLTL1 and NLTL2, we can obtain R L + 66.3 (8.1 MHz) f L1 = 0.89R L + 98.4 R L + 93.0 (10.8 MHz). (50) f L2 = 0.89R L + 138 Fig. 13. Load RF powers versus load resistances for (a) NLTL1 and (b) NLTL2. Measurement (discrete points), SPICE simulation (dashed lines), and theory (solid lines).
respectively. These theoretical predictions will be verified by experimental measurements and simulation results, further. Now, using (38) for NLTL1 and NLTL2, we have 2R L ARFL1 = (3.16 V) × R L + 432 2R L (49) ARFL2 = (3.06 V) × R L + 606 respectively, where R L is in ohms. Fig. 12(a) and (b) shows the load RF amplitudes ( ARFL ) for NLTL1 and NLTL2, respectively. These were obtained by three different methods: measurements, SPICE simulations, and the presented theory. Good agreements are seen in Fig. 12(a) and (b). Fig. 12 indicates that in both NLTLs, the load RF amplitudes can be predicted by good accuracies in a large range of load resistances. B. Optimal Load One of the most important problems of designing an NLTL-based RF pulse generator is applying the optimal load, which absorbs the largest available RF power. The optimal load of NLTLs is theoretically predicted in (27). The values of optimal load resistances for NLTL1 and NLTL2 were computed in (48). In order to verify these theoretical predictions, the output RF power should be computed using (24). Fig. 13(a) and (b) shows the output RF peak powers obtained by experimental measurements, SPICE simulations, as well as the theoretical predictions, for the NLTL1 and the NLTL2, respectively. The presented theory predicts the load resistances of 432 and 606 for NLTL1 and NLTL2, respectively, which are well-enough accurate predictions regarding Fig. 13. C. Output Frequency Another important parameter of an RF pulse generator is the central frequency of the generated RF pulses. The
Fig. 14(a) and (b) shows the central frequencies of the RF pulses at the position of the loads ( f L ) for NLTL1 and NLTL2, respectively, obtained by measurements, SPICE simulations, and the presented theory. There are excellent consistencies between the results of the analysis, simulation, and experiment, which by themselves confirm the exactness of the analytical results of the previous sections. This level of accuracy is obtained in spite of the wide range of the variations of the load resistance (0 < R L < 1000 ), which even more strengthens the analytical results. The average mean squared error between the measurement results and theoretical predictions is about 2.6% and 2.8%, for NLTL1 and NLTL2, respectively. D. Compatibility With the Literature The developed theory in this paper is general and is not limited to varactor diodes as the nonlinear element. Kuek et al. [18] implemented a high-power NLTL with 0.9-μH inductors. For the nonlinear capacitive element, they used Murata DEBF33D102ZP2A ceramic capacitor. The NLTL was excited by a rectangular voltage source with Ai = 3-kV amplitude and R S = 50- series resistance. Regarding the C–V characteristic reported in [18], we have C(Ai ) = 140 pF, which results in 0.9 μH = 58.5 . (51) Z NLTL (Ai ) = 0.73 140 pF The voltage level at the first node of the NLTL can be computed as [17] Z NLTL (Ai ) Vi = Ai = 1.6 kV. (52) Z NLTL(Ai ) + R S The NLTL is short (N = 10) and low loss since the energy efficiency of 96% has been reported. As a result, one can consider VSSi ∼ = Vi . The nonlinear capacitance has the value of 200 pF at the voltage level of VSSi , which results in Z NLTL (VSSi ) = 49 . Now, regarding (27), we have opt
RRFL = 105
(53)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
which is in agreement with the reported value of ∼100 . Since air-core inductors are used in [18], the central frequency of the excited wave at the nth node of the NLTL is given by [17] fi =
1+
25.3 MHz 0.34 1 = √ √ √ . n/220 LC(Vi ) 1 + n/220
(54)
Using (42), one can obtain fL =
25.3 MHz 100 + 0.33 × 49 = 21.4 MHz. √ × 1 + 10/220 0.89 × 100 + 0.49 × 49 (55)
This theoretical prediction is in a good agreement with the measured central frequency of f L ∼ = 23 MHz [18]. VII. C ONCLUSION The generation of RF pulses in terminated lossy NLTLs was studied analytically. The resistive optimal load of NLTLs intended for RF applications was introduced as a function of NLTL characteristic impedance. The peak power and the central frequency of generated RF pulses were represented by closed-form relations. All of the obtained analytical results were verified by SPICE simulations and experimental measurements in two different NLTLs that demonstrated very good agreements in all cases. The compatibility of the theoretical predictions with the reported data in the literature was also investigated. Although the exact output waveform was not predicted by the theory, the presented results are quite useful with applications in the design of NLTL-based high-power RF pulse generators. R EFERENCES [1] B. Nouri, M. S. Nakhla, and R. Achar, “Efficient simulation of nonlinear transmission lines via model-order reduction,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 673–683, Mar. 2016. [2] D. S. Kozlov, A. P. Shitvov, A. G. Schuchinsky, and M. B. Steer, “Passive intermodulation of analog and digital signals on transmission lines with distributed nonlinearities: Modelling and characterization,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1383–1395, May 2016. [3] S. Hernández, M. Pontón, and A. Suárez, “Simulation method for complex multivalued curves in injection-locked oscillators,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4046–4062, Nov. 2017. [4] C. Chang et al., “A new compact high-power microwave phase shifter,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 6, pp. 1875–1882, Jun. 2015. [5] S. Yan and J.-M. Jin, “A fully coupled nonlinear scheme for timedomain modeling of high-power microwave air breakdown,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 9, pp. 2718–2729, Sep. 2016. [6] J. Ma, G. Santoruvo, P. Tandon, and E. Matioli, “Enhanced electrical performance and heat dissipation in AlGaN/GaN Schottky barrier diodes using hybrid tri-anode structure,” IEEE Trans. Electron Devices, vol. 63, no. 9, pp. 3614–3619, Sep. 2016. [7] R. Hou, M. Lorenzini, M. Spirito, T. Roedle, F. van Rijs, and L. C. N. de Vreede, “Nonintrusive near-field characterization of spatially distributed effects in large-periphery high-power GaN HEMTs,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 11, pp. 4048–4062, Nov. 2016. [8] N. Wolff, W. Heinrich, and O. Bengtsson, “100-MHz GaN-HEMT class-G supply modulator for high-power envelope-tracking applications,” IEEE Trans. Microw. Theory Techn., vol. 5, no. 3, pp. 872–880, Mar. 2016. [9] M. Gaspar and T. Garvey, “A compact 500 MHz 65 kW solid-state power amplifier for accelerator applications,” IEEE Trans. Nucl. Sci., vol. 63, no. 2, pp. 699–706, Apr. 2016.
[10] M. P. Brown and P. W. Smith, “High power, pulsed soliton generation at radio and microwave frequencies,” in Proc. 11th IEEE Int. Pulsed Power Conf., Jun. 1997, pp. 346–354. [11] M. Pontón and A. Suárez, “Analysis of two coupled NLTL-based oscillators,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3485–3499, Dec. 2014. [12] M. S. Nikoo and S. M.-A. Hashemi, “New soliton solution of a varactorloaded nonlinear transmission line,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4084–4092, Nov. 2017. [13] M. S. Nikoo and S. M.-A. Hashemi, “Analysis of the power transfer to a nonlinear transmission line,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4073–4083, Nov. 2017. [14] M. S. Nikoo, S. M.-A. Hashemi, and F. Farzaneh, “Theory of terminated nonlinear transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 1, pp. 91–99, Jan. 2018. [15] M. J. W. Rodwell, M. Kamegawa, R. Yu, M. Case, E. Carman, and K. S. Giboney, “GaAs nonlinear transmission lines for picosecond pulse generation and millimeter-wave sampling,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 7, pp. 1194–1204, Jul. 1991. [16] M. Tan, C. Y. Su, and W. J. Anklam, “7* electrical pulse compression on an inhomogeneous nonlinear transmission line,” Electron. Lett., vol. 24, no. 4, pp. 213–215, Feb. 1988. [17] M. S. Nikoo, S. M.-A. Hashemi, and F. Farzaneh, “Theory of RF pulse generation through nonlinear transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 7, pp. 3234–3244, Jul. 2018. [18] N. S. Kuek, A. C. Liew, E. Schamiloglu, and J. O. Rossi, “Pulsed RF oscillations on a nonlinear capacitive transmission line,” IEEE Trans. Dielectr. Electr. Insul., vol. 20, no. 4, pp. 1129–1135, Aug. 2013.
Mohammad Samizadeh Nikoo (M’16) was born in Tehran, Iran, in 1992. He received the B.Sc. and M.Sc. degrees in electrical engineering from the Sharif University of Technology, Tehran, in 2015 and 2017, respectively. He is currently pursuing the Ph.D. degree at the École Polytechnique fédérale de Lausanne, Lausanne, Switzerland. His current research interests include nonlinear electromagnetics and pulsed power. Mr. Samizadeh Nikoo was the recipient of the Best Dissertation of Electrical Engineering of the Sharif University of Technology in 2017. He was also a recipient of the Dr. Mojtahedi Innovation Award in 2018.
Seyed Morad-Ali Hashemi received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1991, the M.S. degree in electrical engineering from Tarbiat Modares University, Tehran, in 1997, and the Ph.D. degree in electrical engineering from the K. N. Toosi University of Technology, Tehran, in 2010. From 2012 to 2014, he was a Post-Doctoral Researcher with the Department of Electrical Engineering, Sharif University of Technology, where, since 2014, he has been the Director with the Science of the Electromagnetics Technology Services Office and the Electromagnetics Research Laboratory. His current research interests include nonlinear characteristics of the microwave–plasma interactions.
Forouhar Farzaneh (S’83–M’84–SM’97) was born in Tehran, Iran, in 1957. He received the B.Sc. degree in electrical engineering from the University of Shiraz, Shiraz, Iran, in 1980, the master’s degree from ENST-Paris, Paris, France, in 1981, and the DEA and Ph.D. degrees from the University of Limoges, Limoges, France, in 1982 and 1985, respectively. From 1985 to 1989, he was an Assistant Professor with Tehran Polytechnic, Tehran. Since 1989, he has been with the Department of Electrical Engineering, Sharif University of Technology, Tehran, where he is currently a Professor. His current research interests include microwave- and millimeter-wave communication circuits and systems. Dr. Farzaneh was a co-recipient of the Microwave Prize-European Microwave Conference in 1985 and a recipient of the Maxwell Premium of the IEEE in 2001.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Integrated Full-Hemisphere Space-to-Frequency Mapping Antenna With CRLH Stripline Feed Network Michael D. Enders , Member, IEEE, Jun H. Choi , Member, IEEE, and Jay K. Lee , Senior Member, IEEE
Abstract— A planar 2-D antenna array capable of mapping a full-hemisphere space to frequencies around 6 GHz is presented. It was designed using composite right-/left-handed transmission lines for the series feed network as well as for the radiating elements, thus achieving size reduction and beam steering control simplification compared to other approaches. Stripline fabrication technology is utilized to eliminate the undesired feed network radiation. A via-less unit cell reduces sensitivity to via misplacement. The result is an antenna that can be used for radar or imaging applications with the appropriate transmit/receive circuitry. Validation is provided by pattern measurements and successful detection of reflective targets. Index Terms— Composite right-/left-handed (CRLH) transmission lines (TLs), frequency scanning antennas, leaky wave antennas (LWAs), metamaterials, phased arrays, radar imaging, stripline.
I. I NTRODUCTION
M
ODERN antenna array systems with beam steering capability have, in many cases, moved from mechanical to electrical beam steering. The steering is often accomplished by dynamically controlling the excitation of the antenna elements by means of tunable phase shifters and attenuators. The cost, size, and processing power required to implement these sorts of control mechanisms can be significant, and the industry and research community continue to search for compact and affordable solutions, particularly for potentially high volume consumer applications. The rise of metamaterials and composite right-/ left-handed (CRLH) transmission lines (TLs) [1] has contributed to significant advancements in this area. Various companies in industry started developing, and even commercializing, products that control material properties for beamforming, for example, in satellite communication, and radars for unmanned aerial vehicles and automotives [2]. These technologies, however, still require a significant amount of beam control mechanisms. Manuscript received April 24, 2018; revised July 10, 2018; accepted July 20, 2018. (Corresponding author: Michael D. Enders.) M. D. Enders and J. K. Lee are with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY 13244 USA (e-mail:
[email protected];
[email protected]). J. H. Choi is with the Department of Electrical Engineering, University at Buffalo, Buffalo, NY 14260 USA (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2864614
Fig. 1.
Diagram of the proposed 2-D frequency scanning array.
The concept explored here is the use of CRLH TLs for miniaturization of frequency scanning antenna arrays (FSAAs). Traditional FSAAs rely on a straightforward principle to steer beams. They are not ideal for communication applications due to limited signal bandwidth in a given direction but can be extended to be good options for low-cost imaging [3], [4] and radar applications [5]–[7]. Typically, separate FSAAs are used for azimuth and elevation (as in [3], [4]). Some methods of using CRLH TLs as leaky wave antennas (LWAs) for space mapping have been proposed, as in [8], which requires additional phase control mechanism, and in [9], which must process signals from many separate LWAs. Our approach, originally outlined in [10] and depicted by the diagram in Fig. 1, is aligned with the spectral decomposition concept by CRLH TLs introduced in [11]. By interconnecting linear FSAAs with a progressive phase feed network, an array system can map frequencies at its single port to angular coordinates (or spatial angles) in the radiating hemisphere. The whole visible space can then be mapped in real time. By using a series feed network topology and implementing the phase progression through a CRLH stripline, a significant reduction in size can be achieved compared to a corporate feed with phasers [11] or even CRLH microstrip lines [12], especially compared to the use of traditional TLs. An integrated solution is introduced with a reduced footprint CRLH stripline feed network that does not affect radiation performance. The design process is detailed to provide better understanding of the considerations needed in the design of such system.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 2. Phase progression relationship between directions. The feed network is in the primary direction, and the linear arrays are in the secondary direction.
II. D ESIGN The general concept in this design relies on a progressive phase series feed in the primary direction, connected to several linear FSAAs in the secondary direction, as proposed in [10]. One of the directions is selected to have a high phase progression and it is convenient for the primary direction to be selected for this (for several reasons discussed in Section III). An example of the desired phase progression relationship between the feed network and linear arrays can be seen in Fig. 2. As for the beam steering, the linear arrays allow a single scanning sweep in the secondary direction, and the high progressive phase network ensures multiple scanning sweeps in the primary direction. The combined scanning effect is that of a raster scan of space, in which the number of passes is determined by the amount of dispersion in the primary direction (e.g., for the phase dispersion exemplified in Fig. 2, there would be five passes). To validate the concept, we set out to design such space mapping antenna with initial goals of an operating range between 5.5 and 6.5 GHz. The following sections outline the main considerations in the design process of the antenna and present measured results that are compared to simulation. A. Feed Network Ideally, a feed network should be implemented without affecting the radiation pattern of the radiating elements array. When utilizing a typical CRLH microstrip line for the feed network, the pattern interference can be difficult to avoid because of its natural continuous phase constant (β) variation from negative to positive. This means that there is a fast wave (|v p | > c) region of operation and the line behaves like a uniform LWA radiating part of the energy [13]. We are interested in a varying phase constant without radiative effects. Lumped element-based CRLH networks can be used but present a high operating frequency limit of around 4 GHz due to the inherent self-resonances. An enclosed distributed structure is then desired. Radiation suppression, and avoidance of the loss associated with it, has historically been some of the advantages of striplines over microstrip technology TLs. A CRLH stripline is proposed here for these benefits with a modified version of the via-less CRLH stripline first introduced in [14]. The via-less unit cell design was devised primarily to facilitate our fabrication effort. Our in-house capability to maintain via position accuracy and guarantee via metal contact to the center conductor of a stripline was a significant concern. As mentioned earlier, the feed network along the primary direction is preferred to be selected for the highly progressive
Fig. 3. Compact (folded) via-less stripline unit cell used for feed network. (a) Stackup. (b) Geometry. (c) Dispersion. Length l = 2.54 mm.
phase TLs. The main reason for this is that there is only one progressive phase network in this direction. In the secondary direction, each linear array will need its own progressive phase network. Since a significantly larger area is needed to achieve greater phase progression (hence the meandering in a traditional serpentine approach), having only one of these large networks provides significant space savings over the multiple ones otherwise needed. The increase in size of the phase progression network in the secondary direction would also make it difficult to maintain the radiating elements at their optimal design intervals. In addition, the phase progression in the various linear arrays in the secondary direction has to match, which is more difficult to achieve with high progressive phase networks. The modified CRLH stripline devised for this feed network is depicted in Fig. 3(b) with its stripline stackup detailed in Fig. 3(a). It is a compact folded version of the one in [14] capable of achieving more phase progression over the same distance. It offers both phase delay and advancement, as shown in the dispersion diagram in Fig. 3(c). The diagram in Fig. 3(c) points to an unbalanced unit cell (there is a band gap between the left-hand and right-hand regions [1]) and is not evenly positioned over the intended operating frequency range (5.5–6.5 GHz). The reason for this is that the diagram was obtained from a 3-D EM eigenmode simulation of the geometry of only the final unit cell. Although the design process initiated with a balanced unit cell centered over the operating frequency range, additional tuning was needed once in the feed network environment shown in the final circuit in Fig. 4. The overall phase progression is a combination of the CRLH property of this unit cell with the right-hand TLs in between. That, as will be seen, is balanced and centered at about 6 GHz and was fine-tuned by adjusting the unit cell dimensions while monitoring the return loss and progressive phase between output ports. Design time was reduced by approximating the stripline to a vertically symmetric structure. By only modeling half of the stackup and applying perfect magnetic boundary along the middle, model analysis was significantly faster. The circuit in Fig. 4 shows that with the new folded unit cell size, it is possible to fit eight cells in a distance shorter
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ENDERS et al.: INTEGRATED FULL-HEMISPHERE SPACE-TO-FREQUENCY MAPPING ANTENNA
Fig. 4.
3
Feed network bottom board prior to lamination.
Fig. 5. Via-less CRLH stripline versus traditional stripline area comparison.
than λ/2 at highest frequency of 7 GHz, avoiding early onset of grating lobes [15]. This amount of unit cells is sufficient to provide a progressive output phase of +360◦ to −360◦ , which is sufficient for our concept validation purposes. To provide a sense of the area reduction opportunity that the CRLH stripline can offer, a traditional serpentine network was simulated to provide the same phase progression over the operating band. For the same stripline stackup, the reduction of required area, for a single progressive phase section, was approximately 5×. This can be seen in the overlay of the two cases provided in Fig. 5. The overall feed network reduction is obviously less than that; however, in a real application, a significantly higher progressive phase network would likely be required, making the overall reduction in such case significant. Some slight disadvantages of CRLH stripline compared to traditional stripline are degraded insertion loss (simulated average increase in loss of 13%) and return loss, but these can be acceptable given the opportunity for size reduction. Several power division circuits were investigated for this application (including simple line splits and Wilkinson power dividers), and ultimately, branchline couplers and a coupledline directional coupler were selected to achieve a uniform taper. Branchline couplers were used for moderate power-split ratios, and by tuning lengths/widths, it was possible to achieve nonsymmetrical power ratios over the operating band to better compensate the increased loss of the CRLH TL at the higher frequencies. A coupled-line directional coupler was used for the high power-split ratio necessary at the first tapping point, and as an atypical case, the coupled length used was 3/4λ. The reason for this is that a single λ/4 directional coupler provides a 90◦ phase advance to the low output power port, whereas a 3/4λ directional coupler provides a 90◦ delay that matches the delay of the branchline couplers used at the subsequent tapping points. A stripline termination was designed for the isolated port of all couplers (shown in Fig. 4). It makes use of a resistor embedded into a cavity for integration purposes, and to maintain the via-less concept, a virtual ground over operating
Fig. 6. Feed network test board. (a) Test board. (b) Return loss performance. (c) Output power. (d) Output phase progression.
frequency was achieved at the pad of the resistor through impedance transformation of an open stub. A well-performing prototype [see Fig. 6(a)] was built, and a comparison between simulated and measured return loss, phase progression, and amplitude taper is shown in Fig. 6(b)–(d), respectively. Note that the good return loss and 0◦ progressive phase around 6 GHz suggest that the combination of CRLH and RH TLs is balanced, unlike the CRLH by itself as previously pointed out. All fabrication steps, including photomask generation, resist coating, exposure, wet etching, drilling, and bonding, took place at our facilities, and several fabrication iterations were necessary to achieve the consistent line widths. It is important to note that the initial iterations presented a bandgap, between the left- and righthanded frequency bands, due to variations on the narrow line widths throughout the board. This bandgap was evident in the degraded return loss performance around the center frequency. Based on the output power measurements shown in Fig. 6(c), the dissipation loss of the feed network has been
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 8.
LWA bottom board prior to lamination.
Fig. 7. Via-less CRLH loaded microstrip line unit cell used for LWA. (a) Stackup. (b) Geometry. (c) Dispersion and leakage constant. Length l = 9 mm.
calculated to be on average 4.9 dB in the operating band which is close to simulation prediction. The effect of this loss in the system efficiency will be discussed at the system level. B. Linear Antenna Arrays The antennas in the array can be chosen from many types of antennas available, and the selection often will be made based on factors such as desired polarization, footprint, and cost of implementation. For many of these cases, the phase progression along the linear arrays direction can be provided by a CRLH stripline (like the one described in the previous section) with tapping points along it leading to the radiating antenna elements, potentially on a separate layer. As mentioned earlier, open CRLH TLs have a natural capability of radiating energy as waves propagate through them. With proper design, CRLH LWAs can provide phase characteristics for backward-toforward scanning, occupying less space. To emphasize the use of CRLH TLs in frequency scanning applications, this is the approach chosen for our antenna. CRLH LWAs using microstrip lines or substrate integrated waveguides can be used with the proper transition. The technology chosen here, however, was the loaded microstrip lines. This stackup is achieved by simply removing the top copper from what would otherwise be a stripline. This approach allows us to conceal and protect the array structure in an elegant way. It eliminates some requirements of a typical microstrip line antenna, such as the need for a radome and plating/passivation of the exposed copper of the antenna elements. Vias have been used to transition the top ground currents from the stripline to the bottom ground of the loaded microstrip lines. Note that the design does include grounding vias (in some cases for mode suppression), but they do not connect to the center conductor layer, and positioning errors do not affect performance significantly. The designed LWA unit cell can be seen in Fig. 7(b) and is the same type reported in [14] with the exception of the stackup here being a loaded microstrip line [see Fig. 7(a)]. It has been tuned through 3-D EM eigenmode simulations to provide the dispersion characteristics shown in Fig. 7(c). The length of the unit cell and dispersion provided are key aspects to be tuned. As mentioned earlier, only a single scanning sweep is desired in this direction. If we consider each unit cell as a radiating element with distance between
Fig. 9. LWA test board. (a) Test board. (b) Return loss performance. (c) Scanning angle of LWA.
elements equivalent to the cell length, one can use the calculated dispersion characteristics to roughly predict the scanning capability based on antenna array theory [15]. Due to the stackup selection and characteristics of the cell type chosen, the characteristic impedance of the cell during tuning had a slight mismatch to that of the system. This would, then, be easily mitigated through the use of impedance transformers. Once an acceptable pair of unit cell length and dispersion characteristic was achieved, a 3-D EM simulation of the linear LWA was set up to verify the scanning performance. The number of unit cells in the linear array can be made large for pencil beam applications. Here, 10 unit cells were chosen so that the effective aperture along its direction would roughly match that of the other direction (or roughly the same beamwidth in both directions). The final LWA layout is visible from the bottom laminate shown in Fig. 8, in which it can be seen that λ/4 impedance transformers and embedded termination with virtual ground have been used. A few iterations of test boards [see Fig. 9(a)] were built until an etch compensation was settled on. It is worth noting that there were fewer issues associated with etching since the gaps and line widths for this part were not as narrow as in the feed network. Measurement results roughly matched simulated predictions, as shown in the return loss in Fig. 9(b) and scanning angle in Fig. 9(c). The scanning angle was determined by the direction of beam peak at each frequency. The left-handed region (θ > 90◦ ) differs slightly
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ENDERS et al.: INTEGRATED FULL-HEMISPHERE SPACE-TO-FREQUENCY MAPPING ANTENNA
Fig. 10.
5
Integrated prototype. (a) Bottom board. (b) Prototype.
from simulation in both measurements and is attributed primarily to etching irregularities. III. M EASUREMENTS OF AN I NTEGRATED A RRAY Since predicted performance of feed network and LWA test boards was validated, the circuits were then integrated into a single layout [see Fig. 10(a)]. The radiating portion, that is, the 2-D LWA array, was analyzed in its entirety through 3-D EM simulation taking into account the mutual coupling between the neighboring linear arrays. In this case, the spacing between the linear arrays was sufficient to not impact return loss significantly, and the simulated radiated beams were deemed acceptable. These results, along with those already available from the feed network model, were joined in circuit simulator for full antenna performance prediction. A single 3-D EM model would have been troublesome to simulate due to memory requirements. The fact that we have an enclosed (nonradiating) feed network lends well to this simulation by parts approach. A single final fabricated board, shown in Fig. 10(b), was initially tested by measuring the return loss of its single port. The response, as shown in Fig. 11(a), shows a degradation between 5.75 and 6.1 GHz with good return loss (better than 10 dB) before and after. This is very much like the response observed in some of the feed network test boards, in which the line widths/gaps of their CRLH stripline were different than intended, thus detuning the balanced frequency. As was the case with both the feed network and LWA test boards, the use of better compensation factors and better control of the etching processes would improve the correlation with simulation. Since the source of return loss degradation is understood, we have proceeded to characterize the radiation patterns over the operating frequency range. Measurements were taken of the total radiated power in the radiating hemisphere (−90◦ < φ < 90◦ , 0◦ < θ < 180◦ ). The patterns were measured with 5◦ step for a reduced data acquisition time, and to better assess the frequency scanning capability, a frequency interval of 10 MHz was used with measurements from 5.28 to 6.72 GHz.
Fig. 11. Measurement results of the integrated prototype. (a) Return loss performance. (b) Sample of measured radiation patterns (normalized). (c) Scanning route (beam peak position as frequency is swept).
The measured patterns were processed in MATLAB to provide an animation of the beam steering as excitation frequency increases from lowest to highest. A smooth scanning capability was observed throughout most of the test points, and a representation of this is shown through snapshots available in Fig. 11(b). Distortions to the beam were observed at the very beginning and end of the band and at the narrow scanning row transitions, as expected. At the row transitions, as the beam is ending a scanning sweep and approaches the board plane, the new beam starts to rise at the opposing direction and spurious beams are observed in between as a result of the array factor. By means of the cubic surface interpolation, the beam peaks were determined on a 1◦ grid (from the 5◦ grid data). By plotting the beam peaks on a chart representing the hemisphere coordinates, the scanning route of the beam can be better understood. Fig. 11(c) depicts this information and it can be seen that the beam sweeps three times over. These results are in line with expectation from simulation. The design
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I I NTEGRATED A RRAY R ADIATION C HARACTERISTICS
was for roughly two sweeps (half, full, and half); however, this chart shows data points out of the initial intended frequency range. The data points near the narrowband row transitions were omitted for better visualization of the useful range. In an application, signals measured in these ranges may need to be ignored. These results validate the beam steering capability and the potential for use in imaging and radar applications. Additional radiation details of a selected amount of frequency points of Fig. 11(c) are available in Table I. It includes simulated and measured beam peak positions, beamwidths (BWs), and directivity. Simulated system-level radiation gain, which includes the loss of the feed network and 2-D LWA array, is also presented. Unfortunately, a standard gain horn antenna covering this frequency range was not available, so actual measurements of gain are not provided. When considering the θ and φ BWs, it is seen that they are roughly the same at broad side (around 6 GHz), which was a goal mentioned earlier. As the frequency varies, there are competing phenomena affecting the changes to BWs as well as gain. Based on antenna array theory, it is expected that with the increase in frequency, the BWs will become narrower, due to the increase of the aperture-to-wavelength ratio, increasing the directivity, and, thus, the gain. However, this is not clearly observed here since the beam direction changes as well. For frequencies for which the beams are closer to the array plane, the array factor yields wider BWs due to the decreased effective aperture when considering the array projection onto the plane perpendicular to the beam. This also results in lower directivity and gain. This dominant effect can be seen in the simulated results around 5.75 and 6.2 GHz. This variation is not obvious, however, in the measurement results. It is assumed that the fabrication inaccuracies are the reason for this. When considering simulated gain and directivity, the system radiation efficiency is calculated to be about 25%. This is driven primarily by the dissipation loss of the feed network. As mentioned earlier, the loss of the CRLH TLs used in the feed network is comparable to that of the traditional meandered approach in the same stackup. This is an
area for improvement through selection of low-loss materials or, potentially, different types of stripline CRLH structures. It is worth noting that the scanning region should be expected to be in practice less than the full hemisphere, as is observable in Fig. 11(c). This is due to the fact that the effective radiation pattern is given by the combination of the array factor and the individual radiating element pattern. In this case, the element radiates poorly below 30◦ from the array plane, setting, therefore, the bounds of the scanning region. IV. A PPLICATION T EST The good beam steering capability allowed us to consider an end-use scenario. Given our measurement capabilities, we decided to test the antenna in a limited radar application. The goal was to detect a reflective target at a few preestablished locations. The simple technique adopted for this experiment was to utilize the transmit/receive capabilities of a network analyzer connected to the antenna in the anechoic chamber. By measuring the return loss over the operating frequency of the prototype, targets should be detectable by reflected signals at the frequencies of the beams in the direction of the target. The prototype was oriented, such that the radiating hemisphere pointed away from the chamber’s probe as it is not used and would introduce undesired reflections. The target utilized was a roughly 8-cm square piece of copper clad laminate placed at a 51-cm distance from the prototype, as shown in Fig. 12(a). The prototype was then rotated to three specific orientations with return loss sweep measurements taken. The target-reflected signal, although present, was saturated by the return loss of the prototype itself. In order to focus on the reflected signals of the environment, rather than that of the antenna, baseline return loss measurements were taken at each orientation without the target present and used as reference. The reference was then subtracted from the target detection measurements, and the result is a modified reflection signal, in which the reflected target signals have been extracted, as shown in Fig. 12(b).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ENDERS et al.: INTEGRATED FULL-HEMISPHERE SPACE-TO-FREQUENCY MAPPING ANTENNA
7
Fig. 12. Radar application measurement results. Target detection within 5◦ cone of intended position. (a) Measurement setup for target detection. (b) Detected signals. Target at (c) position #1, (d) position #2, and (e) position #3.
TABLE II R ADAR TARGET P OSITIONS
To extract the range information out of the reflected signal, other well-known radar techniques can be used, as discussed in [5] and [16]. The delay between the transmitted and reflected signals can be quantified to estimate the distance to the target based on the devices delay and the free-space propagation velocity. With this standard radar implementation, a true 3-D detection can be accomplished. V. C ONCLUSION
The direction of the target in this experiment could be determined by relating the peak signal(s) with the known beam peaks previously characterized by the radiation patterns. To obtain a radar image, however, we multiplied the series of radiation patterns by the modified reflection signal, in essence using the detected reflection at the various frequencies as weights. Using the method described, we were able to detect the position of the three target cases. A list of the intended target positions as well as the positions that were detected is available in Table II. The radar images generated can be seen in Fig. 12(c)–(e). The detected angular positions were within a 5◦ range from the intended positions. This is well within the expectations given uncertainties in the prototype and target positions, the angular resolution of the radiation patterns used, and considering that the target has an angular width of about 8.6◦. The regions in Fig. 12(d) and (e), which look like secondary targets, are primarily due to sidelobe levels. To improve the image, narrower beamwidths and lower sidelobe levels are needed overall. This is attained by a larger array effective aperture (size), use of amplitude tapers, and better control of amplitudes and phases through tuning and processes control.
The goal of achieving a full-hemisphere space-to-frequency mapping antenna array with CRLH TLs that can be extended for use in imaging and radar applications has been accomplished. Key aspects of this particular design include: the use of a CRLH stripline for the feed network that prevents radiation leakage affecting radiation patterns, and a smaller footprint compared to meandered line approach; a construction that is not sensitive to via position; a CRLH LWA array that incorporates feed network capability with backward-toforward radiation capability; and an integrated stripline/loaded microstrip line assembly for simplicity. Feed network and LWA array portions of the design were tested prior to integration and the final integrated prototype performed within expectations given our manufacturing capability. The applicability of the antenna as part of a simple radar frontend was verified in a controlled environment with successful detection of a single target at various positions. The results not only show a successful use of a CRLH stripline in a feed network application but also show that by using CRLH TLs in the design of 2-D frequency scanning arrays, the size of the progressive phase portion of the network can be greatly reduced when compared to traditional meandering approaches. The amount of overall size reduction
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
depends on the amount of phase progression needed for the application, that is, the number of scanning lines. ACKNOWLEDGMENT The authors would like to thank the following Syracuse University entities: the SU Computer Center for providing computational resources, the CASE Center for providing PCB routing equipment, and Prof. M. M. Maye of the Chemistry Department for providing lab space for fabrication.
wideband active frequency converters for the military sector and currently developing beamforming networks for space applications. From 2016 to recently in 2018, he was with JMA Wireless, Inc., Liverpool, NY, USA, where he designed base station and small cell antennas for commercial mobile communication. He then rejoined Anaren, Inc. His current research interests include phased arrays, wideband antennas, composite right-/left-handed metamaterial structures, passive intermodulation, and Schwartz–Christoffel transformation. Mr. Enders has been volunteering with the IEEE Syracuse Section’s MTT/APS/EMC Joint Chapter since 2010 and is currently serving as the Secretary.
R EFERENCES [1] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. Hoboken, NJ, USA: Wiley, 2005. [2] E. Brookner, “Metamaterial advances for radar and communications,” in Proc. IEEE Radar Conf. (RadarConf), May 2017, pp. 1614–1621. [3] T. Geibig, A. Shoykhetbrod, A. Hommes, R. Herschel, and N. Pohl, “Compact 3D imaging radar based on FMCW driven frequency-scanning antennas,” in Proc. IEEE Radar Conf. (RadarConf), May 2016, pp. 1–5. [4] S. Zhan-Shan, R. Ke, C. Qiang, B. Jia-Jun, and F. Yun-Qi, “3D radar imaging based on frequency-scanned antenna,” IEICE Electron. Express, vol. 14, no. 12, p. 20170503, 2017. [5] W. Mayer, M. Wetzel, and W. Menzel, “A novel direct-imaging radar sensor with frequency scanned antenna,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 2003, pp. 1941–1944. [6] Y. Alvarez-Lopez, C. Garcia-Gonzalez, C. Vazquez-Antuna, S. Ver-Hoeye, and F. L. H. Andres, “Frequency scanning based radar system,” Prog. Electromagn. Res., vol. 132, pp. 275–296, 2012, doi: 10.2528/PIER12071811. [7] A. Hommes, A. Shoykhetbrod, and N. Pohl, “A fast tracking 60 GHz radar using a frequency scanning antenna,” in Proc. 39th Int. Conf. Infr., Millim., Terahertz Waves (IRMMW-THz), Sep. 2014, pp. 1–2. [8] H. V. Nguyen, S. Abielmona, A. Rennings, and C. Caloz, “Pencilbeam full-space scanning 2D CRLH leaky-wave antenna array,” in Proc. Int. Symp. Signals, Syst. Electron., Montreal, QC, Canada, Jul. 2007, pp. 139–142. [9] M. Salarkaleji, M. Eskandari, J. C.-M. Chen, and C.-T. M. Wu, “Metamaterial-based microwave tomography and remote sensing using linear sampling method,” in Proc. IEEE Conf. Antenna Meas. Appl. (CAMA), Dec. 2017, pp. 178–181. [10] M. D. Enders and J. H. Choi, “3D space-to-microwave frequency mapping antenna,” in Proc. IEEE Int. Symp. Antennas Propag. (APSURSI), Jun. 2016, pp. 523–524. [11] S. Gupta and C. Caloz, “Real-time 2-D spectral-decomposition using a leaky-wave antenna array with dispersive feeding network,” in Proc. IEEE Int. Symp. Antennas Propag. USNC/URSI Nat. Radio Sci. Meeting, Jul. 2015, pp. 29–30. [12] M. Salarkaleji, M. A. Ali, and C.-T. M. Wu, “Two-dimensional fullhemisphere frequency scanning array based on metamaterial leaky wave antennas and feed networks,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–4. [13] A. Sutinjo, M. Okoniewski, and R. H. Johnston, “Radiation from fast and slow traveling waves,” IEEE Antennas Propag. Mag., vol. 50, no. 4, pp. 175–181, Aug. 2008. [14] M. D. Enders and J. H. Choi, “A series feed network based on a distributed CRLH stripline for frequency scanning applications,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–3. [15] C. A. Balanis, Antenna Theory—Analysis and Design, 4th ed. Hoboken, NJ, USA: Wiley, 2016. [16] S.-T. Yang and H. Ling, “Application of a microstrip leaky wave antenna for Range–Azimuth tracking of humans,” IEEE Geosci. Remote Sens. Lett., vol. 10, no. 6, pp. 1384–1388, Nov. 2013. Michael D. Enders (S’06–M’08) received the B.S. degree in electrical engineering from the Universidade Federal do Rio Grande do Norte, Natal, Brazil, in 2005, and the M.S. degree in electrical engineering from Syracuse University, Syracuse, NY, USA, in 2007, where he is currently pursuing the Ph.D. degree in electrical and computer engineering. From 2007 to 2016, he was with Anaren, Inc., East Syracuse, NY, USA, where he designed passive radar phased array beamforming networks and hybrid
Jun H. Choi (S’12–M’14) received the B.S. degree in electrical engineering from the University of California at Irvine, Irvine, CA, USA, in 2003, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles, Los Angeles, CA, USA, in 2006 and 2014, respectively. From 2014 to 2017, he was an Assistant Professor with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY, USA, where he is currently an Assistant Research Professor. He is also an Assistant Professor with the Department of Electrical Engineering, University at Buffalo, The State University of New York, Buffalo, NY, USA. His current research interests include planar antennas, frequency selective surfaces, and microwave devices based on composite right/left handed and metamaterial structures. Dr. Choi was a recipient of the 2017 AFOSR Young Investigator Award.
Jay K. Lee (S’80–M’85–SM’91) was born in Samcheok, South Korea. He received the B.S. degree in electronics engineering from Seoul National University, Seoul, South Korea, in 1976, and the M.S. and Ph.D. degrees in electrical engineering from the Massachusetts Institute of Technology, Cambridge, MA, USA, in 1981 and 1985, respectively. In 1985, he joined the Faculty of the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY, USA, where he was the Program Director of the Department of Electrical Engineering from 2004 to 2009. From 1987 to 1988, he was with the Naval Air Development Center, Warminster, PA, USA, where he was involved in the SAR imaging problem. In 1990, he joined the Rome Air Development Center, Rome, NY, USA, as a Visiting Professor. In 1993, he was with the Naval Research Laboratory, Washington, DC, USA. In 2000, he joined Seoul National University, as an Invited Visiting Professor. He coauthored Electromagnetics (Adams & Lee, Univ. Readers, 2012). His current research interests include electromagnetic fields and waves, microwave remote sensing, waves in anisotropic and gyrotropic media, antennas and propagation, and microwave engineering. Dr. Lee is a Fellow of the Electromagnetics Academy and a member of the American Geophysical Union and the Korean American Scientists and Engineers Association (KSEA), USA. He was the recipient of the Eta Kappa Nu Outstanding Undergraduate Teacher Award from Syracuse University in 1999, the IEEE Third Millennium Medal in 2000, the College Educator of the Year Award from the Technology Alliance of Central New York in 2002, and the IEEE Region 1 Award in 2003 and 2017. He has served as the President of the Upstate New York Chapter of KSEA from 1990 to 1991, the Faculty Advisor of the Korean Student Association of Syracuse University from 1993 to 2012, the Chair of the IEEE Syracuse Section from 1995 to 1996, and the Student Activities Committee Chair of the IEEE Region 1 from 1996 to 2000. He has been the Faculty Advisor of the IEEE Student Branch of Syracuse University since 1996. He has been on the International Editorial Board of the Journal of Electromagnetic Waves and Applications and Progress in Electromagnetics Research since 1993.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Multiport In-Phase/Antiphase Power Dividing Network With Bandpass Response Based on Dielectric Resonator Wei Yu
and Jian-Xin Chen , Senior Member, IEEE
Abstract— This paper presents a fusion design approach of multiport (1-to-N) in-phase/antiphase power dividing network with a bandpass response, which can be applied in constructing either the balanced or single-ended filtering power divider. The relationships of external Q-factors ( Q e s) between the in-phase/antiphase ports are constructed, and it is found that their Q e ratio is determined by power allocation regardless of the phase difference. Meanwhile, the two functions of power allocation and bandpass response can be designed independently. Based on the theoretical analysis, two kinds of filtering power dividers using the dielectric resonator (DR) are proposed. One is balanced and the other is single ended. Both of them can be easily built by properly adding feeding probes for the DR without increasing the circuit size, while the phase difference between the ports can be adjusted to be either in-phase or antiphase by altering the direction of the feeding probes. To verify the proposed design concept, the filtering power dividers mentioned earlier are simulated. Some of them are implemented and measured, and their measured results match well with the simulated data, showing good performance, such as low loss and good selectivity. Index Terms— Dielectric resonator (DR), filtering power divider, fusion design, multiport network.
I. I NTRODUCTION
A
S A key technology to meet the huge capacity needs of future 5G communications, massive multi-in multiout systems have attracted great attention. For these multiple input and output ports, how to achieve the required power allocation in a specific passband is an unavoidable issue [1], [2]. Accordingly, the fusion design of filtering power divider, which integrates both filtering and power dividing functions into one circuit, has been a hot topic recently in both academic and industrial fields. Compared with the traditional cascaded method as shown in Fig. 1, the multiport fusion
Manuscript received March 25, 2018; accepted July 17, 2018. This work was supported in part by the Natural Science Foundation of Jiangsu Province under Grant BK20161281, in part by the Nantong University–Nantong Joint Research Center for Intelligent Information Technology, and in part by the Postgraduate Research and Practice Innovation Program of Jiangsu Province under Grant KYCX18_2420. (Corresponding author: Jian-Xin Chen.) W. Yu is with the School of Electronics and Information, Nantong University, Nantong 226019, China, and also with the Engineering Training Center, Nantong University, Nantong 226019, China. J.-X. Chen is with the School of Electronics and Information, Nantong University, Nantong 226019, China, and also with the Nantong Research Institute for Advanced Communication Technologies, Nantong 226019, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2860969
Fig. 1. Schematic of the traditional cascaded scheme for 1-to-N power dividing network with filtering response.
design approach can not only effectively reduce the size and cost but also prevent the connection loss. Thus, in the past few years, many kinds of filtering power dividers have been developed by using various technologies such as printed circuit board (PCB) [3]–[7], low temperature cofired ceramic [8], and substrate-integrated waveguide (SIW) [9]–[12]. However, they generally suffer from a common shortcoming of low-unloaded quality (Q u ) factor of the employed resonators, resulting in high in-band loss, and poor passband selectivity. To overcome this, a filtering power divider based on the metal waveguide resonator is presented in [13]. Both filtering and dividing performances are improved significantly while its electrical size is bulky. The dielectric resonator (DR), as a typical resonator in the microwave field, can be treated as a bridge between the planar transmission line resonators and 3-D waveguide resonators in terms of Q u and volume [14]–[18]. It has been widely applied to design the filtering circuits and multiport power divider [19]–[23]. On the other hand, balanced topology becomes more and more popular in the designs of microwave circuits [24], [25], and antennas [26]–[28] because it has several advantages such as high immunity to noise and low electromagnetic (EM) interference, as compared with the traditional single-ended counterparts. Corresponding to this trend, unbalanced-to-balanced and balanced-to-balanced power dividers with/without filtering response using the PCB [29]–[34] and SIW [35] have been developed, allowing easy connection with other unbalanced/ balanced circuits or antennas. In this paper, a fusion design approach of multiport (1-to-N) in-phase/antiphase power dividing network with bandpass response is investigated in detail. The two functions
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6.
Electromagnetic field distribution of the TE01δ -mode DR cavity.
Fig. 2. Schematic of a fusion design of multiport filtering power divider with bandpass response.
Fig. 3.
Topology of the proposed multiport filtering power divider.
Fig. 7. (a) Single-ended feeding scheme for the TE01δ -mode DR cavity. (b) Differential feeding scheme.
single-ended filtering power divider is taken as an example to be implemented, and the simulated and measured results with the good agreement are given in Section VI. The conclusion is drawn in Section VII.
Fig. 4. Equivalent model for the resonant circuit connected with N parallel loads.
Fig. 5. Design procedure for the multiport filtering power dividing network.
of power division and bandpass response can be designed separately. The proposed approach is applicable to either singleended or balanced filtering power divider. Based on this, both the balanced and single-ended filtering power dividers using the ring-shaped DR are successfully designed. Benefitting from the structure of the DR in the metal cavity, multiple feeding probes can be arranged to encircle the DR so that the divider is simple and compact. Meanwhile, the phase difference between the outputs can be adjusted to be either in-phase or antiphase by altering the direction of the feeding probes. This paper is organized as follows. The theoretical analysis for the multiport power dividing network is presented in Section II in detail. The single-ended and differential feeding schemes for the ring-shaped DR are discussed in Section III. To verify the proposed design concept, a 1-to-2 balanced filtering power divider using two DR cavities is designed, implemented, and measured in Section IV. Several singleended designs are simulated in Section V, and a 1-to-4
II. T HEORETICAL A NALYSIS FOR THE M ULTIPORT P OWER D IVIDING N ETWORK Fig. 2 shows the structure of the 1-to-N filtering power dividing network based on the fusion design concept, where S and L i (i = 1, 2, . . . , N) represent the input and outputs, respectively. The external quality factor Q e is the most important parameter in this design because it presents the coupling strength between the feeding line and the resonant circuit, determining the passband construction and power allocation. In order to facilitate the analysis, it is assumed that the output ports are all in-phase since the power allocation method based on Q e is irrelevant to the phase. Fig. 3 shows the fusion design topology of the proposed multiport filtering divider in Fig. 2, and the equivalent model for power allocation based on the last resonator is shown in Fig. 4. When a lossless LC parallel resonant circuit in Fig. 4 is terminated by N parallel loads with identical impedance R0 , the total load impedance R L becomes R0 /N and then the total Q e can be expressed as [36] Q teL =
R0 RL = . ω0 L Nω0 L
(1)
Under the assumption that the power dissipated in each load is the same, which is 1/N of the total output power, the Q e of each load is R0 = N Q teL . Q eL i = (2) ω0 L According to [22], when each load L i is a differential pair − (L + i and L i ), there is Q eL + = Q eL − = 2Q eL i i
i
(3)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YU AND CHEN: MULTIPORT IN-PHASE/ANTIPHASE POWER DIVIDING NETWORK
3
Fig. 8. Q se versus h with (a) different d (l = 20 mm is fixed) and (b) different l (d = 2 mm is fixed). Q de versus h with (c) different d (l = 20 mm is fixed) and (d) different l (d = 2 mm is fixed).
Fig. 9. Simulated results of the single-cavity DR filter with different angles and of directions of feeding probes. (a) Single-ended structure with feeding direction I. (b) Differential structure with feeding direction II. − where Q eL + and Q eL − represent the Q e s of L + i and L i , i i respectively. By combining (2) and (3), there is
Q teL =
1 1 1 Q eL i = Q eL + = Q −. i N 2N 2N eL i
(4)
It can be found from the above-mentioned derivation procedure, the obtained relationship of Q e is applicable to either single-ended or balanced design. Obviously, when N = 2 in (2), there is the same relationship as (3). Meanwhile, since − L+ i and L i (i = 1 or 2) are antiphase while L 1 and L 2 are in-phase, an important conclusion can be obtained that the relationship of Q e is determined by power allocation (number of output ports) regardless of the phase difference, which
verifies the original assumption. Thus, the relationship of Q e can be generally represented by (2) no matter the loads are balanced or single ended. The desired Q e for constructing the passband can be derived from the lowpass prototype, and Q eS of the input is equal to Q teL of the total output. When Q teL required by the filtering response is fixed, Q eL i can be determined by (2) and has no effect on the filtering response. Thus, the two functions of bandpass response and power allocation can be designed independently. Meanwhile, it can be found in Fig. 3 that the number of output ports and the filter order are also independent of each other. Accordingly, the design procedure for the proposed filtering divider is very simple, as compared with the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Simulated responses of the proposed 1-to-2 balanced filtering power divider.
Fig. 10. Configuration of the proposed 1-to-2 balanced filtering power divider. (a) 3-D view. (b) Implementation of the single DR cavity.
Fig. 13. Configuration of the 1-to-2 balanced filtering power divider with different feeding angles.
Fig. 11.
k12 versus the width of coupling aperture W .
designs using the traditional binary tree topology [2]. Fig. 5 shows the design procedure for the multiport filtering power dividing network, which will be used to guide the following designs.
Fig. 14. Simulated responses of the 1-to-2 balanced filtering power divider with different feeding angles.
III. S INGLE -E NDED AND D IFFERENTIAL F EEDING S CHEMES FOR THE R ING -S HAPED DR Fig. 6 shows the configuration of ring-shaped DR in a metal cavity with the EM field distribution of the dominant mode (TE01δ -mode). Fig. 7(a) shows its traditional singleended feeding scheme using the metal probe. According to the EM field distribution and Ampere’s right-hand screw rule, the TE01δ -mode of the DR can be differentially excited as well by symmetrically adding additional probe on the opposite side, as shown in Fig. 7(b). Fig. 8 shows the extracted Q e s under single-ended and differential excitations against probe length (l), probe height (h), and the gap (d) between the probe and DR with fixed h b
of 7 mm, where Q se and Q de represent Q e s of single-ended and differential feeding schemes, respectively. It is well known that the coupling strength between the probe and resonator increases, Q e is reduced. As expected, when l is increased or d is decreased, both Q se and Q de are reduced. Meanwhile, both Q se and Q de vary in a parabola against h. As the probe locates at the center approximately in z-direction, both of them reach the minimum values. For the same l, d, and h, the extracted Q de is one half of Q se approximately, which is consistent with (3). Fig. 9 shows the simulated results of the single-cavity DR filter with different angles and directions of feeding probes.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YU AND CHEN: MULTIPORT IN-PHASE/ANTIPHASE POWER DIVIDING NETWORK
Fig. 15. divider.
5
Photograph of the implemented 1-to-2 balanced filtering power
Fig. 17. Two kinds of configurations for 1-to-3 filtering power dividers. (a) Configuration I. (b) Configuration II.
inset of Fig. 9(a), a transmission zero appears at the lower stopband, and it will shift to the lower frequency as the probe moves away from Port 1. If the single-ended probe is replaced by a differential probe pair, similar effects can be obtained. In Fig. 9(b), when the differential probe pair is arranged in an opposite direction, a transmission zero is located in the upper stopband. If the differential probe pair is replaced by a single-ended probe, similar effects can be obtained as well. IV. BALANCED F ILTERING P OWER D IVIDER
Fig. 16. Measured and simulated frequency responses of the proposed 1-to-2 balanced filtering power divider. (a) Between Port 1 (Port 1 ) and Port 2 (Port 2 ). (b) Between Port 1 (Port 1 ) and Port 3 (Ports 3 ). (c) Phase difference between Sdd21 and Sdd31 .
As shown in the inset of Fig. 9(a), Port 1 is the wave port, representing the coupling aperture in the filter design [19], [20]. When the single-ended probe is arranged as shown in the
Fig. 10 shows the layout of the proposed 1-to-2 balanced filtering power divider, which consists of two identical DR cavities with the volume of a × a × c = 46 × 46 × 32 mm3 . The permittivity (εr ) and loss tangent (tanδ) of the employed DR are 38 and 2.5 × 10−4 , respectively, and the dimensions of the DR are the outer diameter D_DR = 32 mm, the hole diameter D_Hole = 8 mm, and the height H _DR = 13 mm. The DR is placed on a cylindrical support (Al2 O3 ) with the diameter of 19.5 mm and the height of 7 mm. In this design, the first step is to construct a good bandpass response based on the second-order balanced filter with two differential port pairs. The passband response is with a center frequency of 1.745 GHz and 0.03-dB ripple FBW of 0.66%. Accordingly, the lumped parameters of Chebyshev lowpass prototype can be obtained as g0 = 1, g1 = 0.6016, g2 = 0.5094, and g3 = 1.1810. Thus, the required Q de and coupling coefficient k12 can be calculated as g0 g1 = 91.2 (5) Q de = FBW FBW = 0.0119 (6) k12 = √ g1 g2 where the FBW means the ripple fractional bandwidth.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
Fig. 18. Simulated responses of the 1-to-3 filtering power divider in Configuration I. (a) Amplitude-frequency response. (b) Phase-frequency response.
According to the discussion in Section II, the Q e of the input differential port pair is defined as Q deS = Q de [in (5)], while the total Q e of the output differential port pairs is defined as Q teL , which is also equal to Q de so that Q deS = Q teL for achieving good bandpass response. Fig. 11 shows the curve of k12 against the width of the coupling aperture W . The value of k12 becomes larger as W increases. An appropriate value of W is 27.4 mm for meeting the requirement of (6). Fig. 12 shows the simulated result of the proposed 1-to-2 balanced filtering power divider. Two transmission zeros appear on both sides of the passband. Fig. 13 shows the configuration of 1-to-2 balanced filtering power divider with different feeding angles. When all the ports move close to the coupling aperture, all the transmission zeros move close to the passband, which can improve the passband selectivity, as shown in Fig. 14. Fig. 15 shows the photograph of the implemented 1-to-2 balanced filtering power divider. The corresponding dimension parameters are determined as follows: the dimensions of the DR cavity are the same as those of Fig. 10, iris = 3 mm, W = 27 mm, l1 = 19.5 mm, l2 = 20.1 mm, l3 = 20.5 mm, and the probe heights of Port 1 (Port 1 ), Port 2 (Port 2 ), and Port 3 (Port 3 ) are 12.6, 19.7, and 7.8 mm, respectively. As shown in Fig. 8(c) and (d), Q deS is one half of Q deL 1 or
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 19. Simulated responses of the 1-to-3 filtering power divider in Configuration II. (a) Amplitude-frequency response. (b) Phase-frequency response.
Fig. 20.
Configuration of the 1-to-4 single-ended filtering power divider.
Q deL2 approximately, which is consistent with (2). Due to the existence of Al2 O3 support with the permittivity of 9.6, the l3 should be slightly larger than l2 . Fig. 16 illustrates the measured and simulated mixed-mode S-parameters and phase difference, showing good consistency. All the measurements of the fabricated circuits are accomplished by using the four-port Agilent N5230C PNA-L network analyzer. The measured DM passbands of Sdd21 and Sdd31 are both centered at 1.745 GHz with a 3-dB bandwidth of 29.6 MHz. The minimum in-band insertion loss of Sdd21 is (3 + 0.5) dB, while it is (3 + 0.61) dB for Sdd31 . The measured return loss of Sdd11 is better than 15 dB. The CM suppressions (Scc21 and Scc31 ) are both higher than 50 dB in
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YU AND CHEN: MULTIPORT IN-PHASE/ANTIPHASE POWER DIVIDING NETWORK
Fig. 21. divider.
7
Simulated responses of the 1-to-4 single-ended filtering power
Fig. 23. Simulated responses of the 1-to-8 single-ended filtering power divider. (a) Dividing performance. (b) Isolation. Fig. 22. Simulated responses of the 1-to-4 single-ended filtering power divider with fourth-order Chebyshev response.
the DM passband. And the phase difference between Sdd21 and Sdd31 is within 1.6°, and their magnitude imbalance is less than 0.2 dB across the passband. At the same time, two transmission zeros of Sdd21 (or Sdd31 ) appeared at about 1.63 and 1.84 GHz. V. S INGLE -E NDED F ILTERING P OWER D IVIDER Fig. 17 shows two kinds of configurations for single-ended 1-to-3 filtering power dividers, which are odd output port examples. According to (2), Q eS should be 1/3 of Q eL i [Q e of each single-ended output port in Fig. 17(a) or (b)] theoretically. The simulated responses of the two designs are shown in Figs. 18 and 19, respectively. As can be seen, the bandpass responses of the two designs are almost the same as each other. The ports located in one side are in-phase while the ports arranged in face-to-face are antiphase. For example, Ports 2 and 3 in Fig. 17(b) are antiphase while Ports 3 and 4 are in-phase, as shown in Fig. 19. Fig. 20 shows the layout of the 1-to-4 single-ended filtering power divider, which is an even output port example. In this case, Q eS should be 1/4 of Q eL i theoretically. Based on the above-mentioned discussion, the 1-to-4 single-ended power
divider can be easily constructed by increasing the probe length l1 while other dimensions in Fig. 10 keep unchanged. The simulated responses of the 1-to-4 single-ended filtering power divider are shown in Fig. 21. To demonstrate higher order design and controllable transmission zeros, a 1-to-4 single-ended filtering power divider with fourth-order Chebyshev response is simulated, as shown in Fig. 22. Compared with the configuration in Fig. 20, the four output feeding probes are arranged in the opposite direction. Since all the transmission zeros appear in the lower stopband, a much higher rejection of the lower stopband can be obtained. Fig. 23 shows the simulated responses of the 1-to-8 singleended filtering power divider. In this case, Q eS is 1/8 of Q eL i . Since the design procedure is consistent with the 1-to-3 and 1-to-4 counterparts, it is not discussed here in detail. Considering the limitation on the number of ports connected with one DR cavity and the independence between the number of output ports and the order of resonant circuit, the proposed structure can also be combined with the binary tree topology to meet the needs of more output ports. Compared with the traditional binary tree multiport filtering power divider [2], the volume of the proposed structure can be effectively reduced. It is a general situation that the isolation is not ideal for power dividers
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 24. Measured and simulated S-parameters of the 1-to-4 single-ended filtering power divider. (a) S21 and S31 . (b) S41 and S51 .
with no resistance. However, for multiport power divider, the isolation will be improved with the number of ports increasing [37]. For example, the simulated isolation of 1-to-8 filtering power divider is better than 17 dB, as shown in Fig. 23(b), which has about 7-dB improvement over the 1-to-4 design. VI. E XPERIMENT FOR 1- TO -4 S INGLE -E NDED F ILTERING P OWER D IVIDER For demonstration, the proposed 1-to-4 single-ended filtering power divider is taken as an example. Fig. 24 shows the measured and simulated S-parameters with good accordance. The four measured passbands are all centered at 1.745 GHz with the 3-dB bandwidths of 1.7%. The measured in-band return loss (S11 ) is better than 15 dB, while the minimum insertion losses (S21 ∼ S51 ) ranges from (6 + 0.37) to (6 + 0.58) dB. There are two transmission zeros in the lower and higher stopbands for each passband. As expected, for the same dimension parameters (except l1 ) mentioned in Section IV, it can be seen from Fig. 8(a) and (b) that Q eS is 1/4 of Q eL i approximately, which is consistent with (4). Fig. 25 illustrates the measured and simulated amplitude imbalance and phase difference, showing good consistency. The amplitude imbalance is within 0.2 dB for the four passbands while the phase differences ( S21 – S31 , S41 – S51 ,
Fig. 25. Measured and simulated amplitude imbalance and phase difference. (a) S21 –S31 and S21 – S31 . (b) S41 –S51 and S41 – S51 . (c) S41 – S31 .
and S41 – S31 ) in the passband are within 180° ± 1.64°, 180° ± 0.63°, and 180° ± 2.57°, respectively, which imply that S21 – S41 and S31 – S51 are within 0° ± 4.21° and 0° ± 3.2°, respectively. As shown in Figs. 24 and 25, the tiny differences between the measured and simulated results are mainly ascribed to the fabrication tolerance and manual assembly. The above-mentioned prototypes demonstrate the design method of the balanced and single-ended multiport filtering
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YU AND CHEN: MULTIPORT IN-PHASE/ANTIPHASE POWER DIVIDING NETWORK
power dividers. Note that the Q e ratio between the in-phase/ antiphase ports is determined by power allocation regardless of the phase difference. This provides a certain freedom for the overall design to accommodate specific power dividing requirements for different ports. VII. C ONCLUSION In this paper, a new fusion design method for multiport filtering power divider using the DR has been presented, which exhibits attractive solutions for future communication systems. The approach is based on an important result that the Q e ratio between the in-phase/antiphase ports is determined by power allocation regardless of the phase difference. Meanwhile, the designs of power allocation and bandpass response are independent of each other. The solution not only provides the merging of dividing and filtering functions but also facilitates compact implementations. Both of the two prototypes are verified by the simulation and experiment. Good performances, such as low passband insertion loss, high selectivity, and compact structure have been realized, which would make the proposed solution attractive in many practical applications. R EFERENCES [1] S. Shinjo, K. Nakatani, K. Tsutsumi, and H. Nakamizo, “Integrating the front end: A highly integrated RF front end for high-SHF wide-band massive MIMO in 5G,” IEEE Microw. Mag., vol. 18, no. 5, pp. 31–40, Jul. 2017. [2] C.-X. Mao et al., “An integrated filtering antenna array with high selectivity and harmonics suppression,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 6, pp. 1798–1805, Jun. 2016. [3] Y. C. Li, Q. Xue, and X. Y. Zhang, “Single- and dual-band power dividers integrated with bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 69–76, Jan. 2013. [4] K. Song and Q. Xue, “Novel Ultra-Wideband (UWB) multilayer slotline power divider with bandpass response,” IEEE Microw. Compon. Lett., vol. 20, no. 1, pp. 13–15, Jan. 2010. [5] C.-F. Chen, T.-Y. Huang, T.-M. Shen, and R.-B. Wu, “Design of miniaturized filtering power dividers for system-in-a-package,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 10, pp. 1663–1672, Oct. 2013. [6] L. Gao and X. Y. Zhang, “Novel 2:1 Wilkinson power divider integrated with bandpass filter,” Microw. Opt. Technol. Lett., vol. 55, no. 3, pp. 646–648, Mar. 2013. [7] L. Gao, X. Y. Zhang, and Q. Xue, “Compact tunable filtering power divider with constant absolute bandwidth,” IEEE Trans. Microw. Theory Techn.,, vol. 63, no. 10, pp. 3505–3513, Oct. 2015. [8] X. Y. Zhang, X.-F. Liu, Y.-C. Li, W.-L. Zhan, Q. Y. Lu, and J.-X. Chen, “LTCC out-of-phase filtering power divider based on multiple broadside coupled lines,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 5, pp. 777–785, May 2017. [9] K. Song, Y. Zhu, and F. Zhang, “Single- and dual-band filtering-response power dividers embedded SIW filter with improved output isolation,” Sci. Rep., vol. 7, Jun. 2017, Art. no. 3361. [10] W. Shen, W.-Y. Yin, X.-W. Sun, and L.-S. Wu, “Substrate-integrated waveguide bandpass filters with planar resonators for system-onpackage,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 2, pp. 253–261, Feb. 2013. [11] U. Rosenberg, M. Salehi, J. Bornemann, and E. Mehrshahi, “A novel frequency-selective power combiner/divider in single-layer substrate integrated waveguide technology,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 8, pp. 406–408, Aug. 2013. [12] U. Rosenberg, M. Salehi, S. Amari, and J. Bornemann, “Compact multi-port power combination/distribution with inherent bandpass filter characteristics,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2659–2672, Nov. 2014.
9
[13] A. M. Mohammed and Y. Wang, “Four-way waveguide power dividers with integrated filtering function,” in Proc. 44th Eur. Microw. Conf., Paris, France, Sep. 2015, pp. 486–489. [14] C. Wang and K. A. Zaki, “Dielectric resonators and filters,” IEEE Microw. Mag., vol. 8, no. 5, pp. 115–127, Oct. 2007. [15] X.-P. Chen and K. Wu, “Substrate integrated waveguide filter: Basic design rules and fundamental structure features,” IEEE Microw. Mag., vol. 15, no. 5, pp. 108–116, Jul. 2014. [16] R. R. Mansour, “Filter technologies for wireless base stations,” IEEE Microw. Mag., vol. 5, no. 1, pp. 68–74, Mar. 2004. [17] R. R. Mansour, “High-Q tunable dielectric resonator filters,” IEEE Microw. Mag., vol. 10, no. 6, pp. 84–98, Oct. 2009. [18] S. J. Fiedziuszko and S. Holme, “Dielectric resonators raise your high-Q,” IEEE Microw. Mag., vol. 2, no. 3, pp. 50–60, Sep. 2001. [19] H. Hu and K.-L. Wu, “A TM11 dual-mode dielectric resonator filter with planar coupling configuration,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 131–138, Jan. 2013. [20] Q.-X. Chu, X. Ouyang, H. Wang, and F.-C. Chen, “TE01δ -mode dielectric-resonator filters with controllable transmission zeros,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1086–1094, Mar. 2013. [21] J. Li, Y. Zhan, W. Qin, Y. L. Wu, and J.-X. Chen, “Differential dielectric resonator filters,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 4, pp. 637–645, Apr. 2017. [22] J.-X. Chen, Y. Zhan, W. Qin, and Z.-H. Bao, “Design of highperformance filtering balun based on TE01δ -mode dielectric resonator,” IEEE Trans. Ind. Electron., vol. 64, no. 1, pp. 451–458, Jan. 2017. [23] L. K. Hady, A. A. Kishk, and D. Kajfez, “Power dividers based on dielectric resonator whispering-gallery modes fed by probe or slot type of coupling,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3404–3409, Dec. 2009. [24] O. Schmitz, S. K. Hampel, H. Rabe, T. Reinecke, and I. Rolfes, “Differential amplifier characterization using mixed-mode scattering parameters obtained from true and virtual differential measurements,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 132–142, Jan. 2011. [25] W. Feng and W. Che, “Novel wideband differential bandpass filters based on T-shaped structure,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1560–1568, Jun. 2012. [26] H. Tang, J.-X. Chen, W.-W. Yang, L.-H. Zhou, and W. Li, “Differential dual-band dual-polarized dielectric resonator antenna,” IEEE Trans. Antennas Propag., vol. 65, no. 2, pp. 855–860, Feb. 2017. [27] X. S. Fang, K. W. Leung, E. H. Lim, and R. S. Chen, “Compact differential rectangular dielectric resonator antenna,” IEEE Antennas Wireless Propag. Lett., vol. 9, pp. 662–665, 2010. [28] B. Li and K. W. Leung, “On the differentially fed rectangular dielectric resonator antenna,” IEEE Trans. Antennas Propag., vol. 56, no. 2, pp. 353–359, Feb. 2008. [29] W. Zhang et al., “Novel planar compact coupled-line single-ended-tobalanced power divider,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 8, pp. 2953–2963, Aug. 2017. [30] B. Xia, L.-S. Wu, S.-W. Ren, and J.-F. Mao, “A balanced-to-balanced power divider with arbitrary power division,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2831–2840, Aug. 2013. [31] W. Feng, H. Zhu, W. Che, and Q. Xue, “Wideband in-phase and out-of-phase balanced power dividing and combining networks,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1192–1202, May 2014. [32] J. Shi, J. Wang, K. Xu, J.-X. Chen, and W. Liu, “A balanced-to-balanced power divider with wide bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 9, pp. 573–575, Sep. 2015. [33] B. Xia, L.-S. Wu, and J.-F. Mao, “A new balanced-to-balanced power divider/combiner,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2791–2798, Sep. 2012. [34] J. Shi, K. Xu, W. Zhang, J.-X. Chen, and G. Zhai, “An approach to 1-to-2n way microstrip balanced power divider,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4222–4231, Dec. 2016. [35] L.-S. Wu, B. Xia, W.-Y. Yin, and J. Mao, “A half-mode substrate integrated waveguide ring for two-way power division of balanced circuit,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 7, pp. 333–335, Jul. 2012. [36] D. M. Pozar, Microwave Engineering, 4th ed. New York, NY, USA: Wiley, 2011. [37] D. I. L. D. Villiers, P. W. van der Walt, and P. Meyer, “Design of a tenway conical transmission line power combiner,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 2, pp. 302–308, Feb. 2007.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
Wei Yu was born in Nantong, Jiangsu, China, in 1986. He received the B.S. degree in electronic engineering and M.S. degree in instrument science and technology from Nanjing Forestry University, Nanjing, China, in 2009 and 2012, respectively. He is currently pursuing the Ph.D. degree in information and communication engineering at Nantong University, Nantong. Since 2012, he has been with the Engineering Training Center, Nantong University, where he is currently a Lecturer. His current research interests include microwave active/passive circuits and antennas.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Jian-Xin Chen (M’08–SM’17) was born in Nantong, China, in 1979. He received the B.S. degree in physics from Huai Yin Teachers College, Jiangsu, China, in 2001, the M.S. degree in electromagnetic fields and microwave technology from the University of Electronic Science and Technology of China, Chengdu, China, in 2004, and the Ph.D. degree in electronic engineering from the City University of Hong Kong, Hong Kong, in 2008. Since 2009, he has been with Nantong University, Nantong, China, where he is currently a Professor. He has authored or co-authored more than 100 internationally referred journal and conference papers. He holds 15 Chinese patents and 3 U.S. patents. His current research interests include RF/microwave differential circuits and antennas, dielectric resonator filters, and low temperature co-fired ceramic millimeter-wave circuits and antennas. Dr. Chen was a TPC Co-Chair of the IEEE iWEM in 2016. He has been a regular Reviewer for several international journals, including four IEEE T RANSACTIONS . He was a recipient of the Best Paper Award presented at the Chinese National Microwave and Millimeter-Wave Symposium, Ningbo, China, in 2007. He was the supervisor of the 2014 iWEM Student Innovation Competition winner in Sapporo, Japan.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Generalized Synthesized Technique for the Design of Thickness Customizable High-Order Bandpass Frequency-Selective Surface Komlan Payne , Student Member, IEEE, Kevin Xu , Student Member, IEEE, and Jun H. Choi, Member, IEEE
Abstract— This paper presents a design guide for the implementation of thickness customizable high-order (N ≥ 2) bandpass frequency-selective surface (FSS). Admittance inverters are used to synthesize the filter response given desired characteristics such as filter type, center frequency, and bandwidth. The spatial inverter layers are essentially electromagnetic coupling interlayers that can be adjusted to customize the thickness of multilayered FSS without degrading the desired filter performance. A generalized equivalent circuit is used to provide physical insights of the proposed design. This synthesized technique can be adopted to deliver a versatile implementation capability of highorder FSS filters using various dielectric spacers with arbitrary thicknesses. Such a technique enables the realization of spatial filters with variable weight and size, while maintaining the desired filter response. To highlight its thickness controllability, a third-order bandpass FSS operating at the X-band (center frequency at f0 = 10 GHz) with ≈10% fractional bandwidth is synthesized in four different thickness configurations (electrical size varying from λ0 /12 to λ0 /6). The simulation results of all the four thickness customized configurations deliver the same filter response. To validate the proposed technique, two prototypes among the four design configurations are fabricated and measured in a free-space environment. Simulated and measured results show good agreement. Index Terms— Bandpass filter, coupled-resonator filter, frequency-selective surface (FSS), metamaterial, multipole.
I. I NTRODUCTION HE modern microwave and RF systems demand ever more stringent design requirements on the physical features of the constituent subcomponents. For example, flexible implementation solutions that can deliver desired element size
T
Manuscript received May 22, 2018; accepted July 19, 2018. This work was supported in part by the Air Force Office of Scientific Research under AFOSR Award FA9550-17-1-0111 and in part by Syracuse University through computational resources. (Corresponding author: Komlan Payne.) K. Payne is with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY 13244 USA (e-mail:
[email protected]). K. Xu was with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY 13244 USA. He is now with the Department of Electrical Engineering, University at Buffalo, Buffalo, NY 14260 USA (e-mail:
[email protected]). J. H. Choi is with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY 13244 USA, and also with the Department of Electrical Engineering, University at Buffalo, The State University of New York, Buffalo, NY 14260 USA (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2864569
and weight present important design challenges. Frequencyselective surfaces (FSSs) are periodic structures arranged in a 1-D or 2-D lattice, designed to filter or absorb electromagnetic (EM) waves [1]. These artificial surfaces are widely used in defense and wireless applications such as in designing radomes and dichroic surfaces for reflectors and subreflectors [2]. The concept has also begun to transfer to commercial sectors for cybersecurity purposes and the design of novel EMs’ devices such as lenses and polarizers. For example, FSS-based wallpapers have been recently researched to contain private Wi-Fi signals within the desired residential/industrial buildings while permitting radio and emergency waves to pass through [3], [4]. FSSs are also widely used as a frontend filter to control EM waves before they couple with the antennas or sensors in the system [5]–[9]. FSSs are typically designed using classical filter synthesis techniques [10]. In general, steepness of a filter response curve is controlled based on the filter order. Thus, the higher order filter responses are preferred for practical application demanding flat in-band top and sharper band skirt for higher out-of-band suppression. In analogy of the design of high-order guided microwave filter using inverters, multipole FSS design can also be implemented using spatial inverters. However, the use of quarter-wavelength separations to implement inverters between adjacent resonant FSS layers [10] not only results in a relatively thick structure but also often deteriorates the filter performance, in particular for waves impinging from oblique angles. An Nth-order filter using this approach has an overall thickness in the order of (N − 1)λg /4. To address this issue, a closely coupled threelayer FSS arranged as resonator–aperture–resonator configuration [11], [12] has demonstrated stable second-order filter response for broad range of incident angle in a compact form. A miniaturized multipole spatial filter is also realized by alternating nonresonant patches and wire grid layers [13]–[15]. These compact designs also provide stable filtering performance for waves impinging from oblique angles and can achieve the overall thickness of about (N −1)λ0 /30. Although such design method may be ideal in realizing low-profile and/or conformal FSSs applications, it may not lend much freedom in adjusting the separation between each metallic layer. To the best of our knowledge, a general design method that enables thickness control of multipole (multilayered) FSSs
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
is yet to be explored. Thus, the necessity of a multilayered FSS design technique that can be adjusted for different thicknesses, while maintaining the desired filter responses, would bring a practical alternative design solution for FSS applications requiring precise thickness specifications. Recently, we have shown the feasibility of realizing miniaturized three-pole bandpass FSS based on inverter interlayers [16]. The design was realized by sandwiching coupling interlayers between three bandpass FSS layers comprised periodic miniaturized complementary Jerusalem cross structures. The total thickness of the design can be adjusted between λ0 /10 and λ0 /4. Although our initial studies served well in indicating the possibility of transforming the concept to design thickness variable multilayered FSS, a comprehensive and systematic design guide to simultaneously control filter characteristics, and filter thickness has not been presented. Previously, the filter responses to the incident waves were obtained through Brute-force optimization-based techniques using High-Frequency Structure Simulator (HFSS) from the ANSYS Corporation. Also, the filters deliver maximally flat bandpass response for various FSS thicknesses, but in doing so, the filter characteristics including operation frequency and fractional bandwidth are unintentionally altered. This was due to the lack of a synthesis procedure that can be employed to compensate the detuning of desired filter characteristics. This paper presents a generalized technique for synthesizing bandpass FSS filters of arbitrary order (N ≥ 2) that allows simultaneous control of the thickness and transfer response for multilayered FSS filters. Our approach provides the capability to control the thickness to arbitrary dimensions that might be needed for a particular application. The use of equivalent circuit model (ECM) provides the physical insight behind the simultaneous control of the filter response and thickness. Thickness customizability allows realization of multilayered FSS filters with desired dimensions in order to flush mount FSS filters onto arbitrary surrounding structures, or to allow the use of readily available dielectric substrates. Commercial dielectrics (e.g., from Rogers Corp.) are typically available in limited thickness options (e.g., h = 0.127, 0.254, 0.381, 0.508, 0.76, 1.524, 1.27, 1.9, 2.5, and 3.175 mm). Moreover, this technique has a promising implication in real-world applications as it may allow simpler integration of active components for the design of the tunable multilayer FSS [9]. In order to validate the design’s versatile implementation capability, a third-order bandpass FSS is synthesized using the proposed technique and implemented in four different predefined thicknesses while maintaining the same desired filter characteristics. In addition, different resonators with rapport to [16] are used to extend the design capability for the use of various constituent elements. II. G ENERAL S YNTHESIS T ECHNIQUE OF THE M ULTIPOLE FSS AND F ILTER T HEORY C ONCEPT A. Configuration of the Proposed FSS Coupled filter theory has been widely applied and used to design waveguide filters, dielectric resonator filters, ceramic
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
combline filters, and microstrip filters [17]–[21] and has served as an extremely powerful tool in both synthesizing and optimizing guided filters [22], [23]. As for traditional guided filters, inverters enable various alternative implementation options to achieve the same desired transfer responses. Recently, coupled-resonator filter theory based on impedance inverter [24] was used to synthesize multipole FSS composed of dielectric slab and wire grid array. However, in this design, the dielectric spacers are used as resonators with half-wavelength electric thickness. Thus, not only does the design implementation prevent overall thickness customizability but also will result in relatively thick structure at lower operating frequency, which often deteriorates the filter performance. Such performance degradation becomes more pronounced when the waves impinge the FSS from oblique angles. In order to compress the total thickness of the multipole FSS filter, coupling interlayers can be integrated with subwavelength [16] or half-wavelength [11], [25] resonator-based periodic structures. Coupling interlayers are essentially inverter layers. When applied to FSS filters, this concept allows one to replace quarter-wavelength spacers (a type of inverter) to a more compact or even thickness adjustable artificial inverter layers. A maximally flat bandpass response can be realized by placing single-pole FSS layers quarter-wavelength apart [10]. However, if one tries to reduce the separation between the FSS layers, the filter performance degrades due to increased coupling strength between the resonant layers. FSS based on aperture coupling interlayers is a powerful method that allows control of the coupling strength, thus enabling the design of selective filtering response for multilayered FSS filters with desirable separation between successive layers. The proposed multilayered bandpass spatial filter is shown in Fig. 1, where the design is symmetrical about the transverse xy plane located at the middle of the structure. An Nth-order multilayered FSS is comprised N metallic bandpass resonators layers, (N − 1) aperture coupling interlayers and 2N dielectric slabs. For the purpose of demonstration, we selected a relatively simple square loop bandpass resonator and fixed the dielectric constant (εr ) to the same values in all the dielectric slabs, with relative permeability μr = 1. In this example, the magnetic coupling is dominant since the center of the aperture is located away from the square loop slot (where the electric fields are predominant) [26]. Thus, the nonresonant aperture layers placed between pairs of resonators behave as inductively coupled interlayers. For this implementation, the major magnetic coupling contribution comes between adjacent resonators layer linked by direct coupling. Cross-coupling and mixed coupling may be useful in designing more complex multipole FSS configurations to improve its performance as it was demonstrated for guided filter to introduce additional transmission zeros (TZs) and reduce insertion loss [27]–[29]. However, adopting this technique can unveil a much more complicated synthesis level for the design of multipole FSS as the model will become more cumbersome. This was the case in [16] where both electric and magnetic coupling coexist.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PAYNE et al.: GENERALIZED SYNTHESIZED TECHNIQUE
3
Fig. 1.
Unit cell representation of the topology of the N th-order spatial bandpass filter based on aperture coupling (inverter) interlayers.
Fig. 2.
ECM of the N th-order spatial bandpass filter consisting of shunt parallel resonators and shunt inductors separated by short transmission lines.
B. Equivalent Circuit Model and General Design Guide The ECM of the Nth-order multipole FSS in Fig. 1 is illustrated in Fig. 2, where A and B represent the input and output ports. Individual bandpass FSS layers are modeled as parallel L pi , C pi (1 ≤ i ≤ N) resonant tanks and the aperture layers as shunt inductors L ri,i+1 (1 ≤ i ≤ N − 1). Each substrate is modeled by a short transmission line with √ characteristic impedance Z d = Z 0 × μr /εr , and length h [i,i+1 ]L/R . The lengths h [i,i+1]L and h [i,i+1]R represent the thickness of the dielectric slabs sandwiched between the i th and the (i + 1)th bandpass resonator layers, placed on the left and right sides of the aperture layer, respectively. The length of the outer dielectric slabs is denoted by h A1 and h N,B . The semi-infinite spaces on each side of the multilayered structure are represented by semi-infinite transmission line with characteristic impedance Z 0 . The goal of our design guide procedure is to obtain electrical parameter combinations shown in Fig. 2 given specific filter response characteristic. Most importantly, it will be demonstrated that predetermined thickness values of dielectric slabs can be used to simultaneously obtain desired total thickness and transfer function of multilayered FSS filters. Our synthesis technique begins with the generalized equivalent circuit of a bandpass filter of order N using admittance inverters (J0,1 , J1,2 , . . . , JN−1,N , JN,N+1 ). Given an Nth-order bandpass filter with center frequency f0 and fractional bandwidth = BW/ f 0 , its ECM can be modeled as shown in Fig. 3(a). The parallel L pi , C pi tanks denote the selfinductance and self-capacitance of the uncoupled resonators,
whereas Ji,i+1 (1 ≤ i ≤ N − 1) represent the mutual coupling between two consecutive bandpass FSS layers due to the effect of the inverter layer and dielectric slabs. The coupling at the source and load is denoted by J0,1 and JN,N+1 , respectively. The electrical parameters of the equivalent circuit can be obtained using the following equations in [30]: Z 0 1 (1) C Pi = 2 L Pi = ω0 gi ω0 L Pi 1 ≤ i ≤ N C Pi C P(i+1) (2) Ji,i+1 = ω0 gi gi+1 1 ≤ i ≤ N − 1 where ω0 = 2π f 0 denotes the center angular frequency, and gi , (0 ≤ i ≤ N + 1) are the normalized elements of the low-pass prototype filter type (e.g., Butterworth or Chebyshev response). These normalized values are used to find the required inductances and capacitances of the bandpass filters using frequency and element transformation [30]. For the predefined size of the outer substrates, the coupling at the source and the load are set to 1 (3) J0,1 = Y0 1 + (μ0 μr h A1 ω0 Y0 )2 1 JN,N+1 = Y0 . (4) 1 + (μ0 μr h N B ω0 Y0 )2 The lumped equivalent circuit of each Ji,i+1 inverter consists of the series inductor L i,i+1 between two shunt inductors of negative value (−L i,i+1 ) such that Ji,i+1 = 1/(ω0 L i,i+1 ), as it
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 3. (a) Generalized ECM of bandpass filter based on admittance inverters. (b) Admittance inverters are modeled as lumped component (inductive networks). (c) Coupling networks at the source and load are further modified to avoid the vegetative inductance at the terminations. (d) Simplified model after all the negative inductances are absorbed by adjacent resonators.
is shown in Fig. 3(b). The coupling network at the source and load is further modified to avoid the negative inductance value at the terminations, which cannot be absorbed by adjacent shunt elements [see Fig. 3(c)]. The new inductance values obtained at both terminals are Y0 2 1 − 1 = μ0 μr h A1 (5) L A,1 = ω0 Y0 J0,1 2 Y0 1 L N,B = − 1 = μ0 μr h N B (6) ω0 Y0 JN,N+1 L A,1 =
1 + (ω0 L A,1 Y0 )2 L A,1 (ω0 Y0 )2
L N,B =
1 + (ω0 L N,B Y0 )2 . L N,B (ω0 Y0 )2
(7)
It should be noted that, when the outer substrates are not needed we have J0,1 = JN,N+1 = Y0 . Then from (5) to (7), the series inductances at both terminals are shorted (L A,1 = L N,B = 0) while the shunts inductances are opened (L A,1 = L N,B = ∞). The simplified version of the ECM after absorption of the negative inductance values by adjacent resonators is shown in Fig. 3(d). The inductance values obtained
in Fig. 3(d) are obtained as follows: 1 1 1 1 = − − L P1 L P1 L A,1 L 1,2 1 1 1 1 = − − L PN L PN L N−1,N L N,B 1 1 1 1 = − − · (2 ≤ i ≤ N − 1). L Pi L Pi L i−1,i L i,i+1
(8) (9) (10)
By predefining the size of the intersubstrates, the ECM in Fig. 3(d) can be transformed to the one in Fig. 4. This new model is obtained by converting the π-inductor network between consecutive resonators to T-network such that L [i,i+1]L/R = μ0 μr h [i,i+1]L/R · (1 ≤ i ≤ N − 1).
(11)
The other required constituting elements in the modified ECM can be obtained as follows: 1 1 L 1,2 − L [1,2]L − L [1,2]R = − (12) L P1 L P1 L 1,2 × L [1,2]L 1 1 L N−1,N − L [N−1,N ]L − L [N−1,N ]R = − (13) L PN L PN L N−1,N × L [N−1,N ]R
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PAYNE et al.: GENERALIZED SYNTHESIZED TECHNIQUE
Fig. 4.
5
π -inductor network between adjacent resonators is used from Fig. 3(d) and converted to T-inductor network.
1 1 L i−1,i − L [i−1,i ]L − L [i−1,i ]R = − L Pi L Pi L i−1,i × L [i−1,i ]R L i,i+1 − L [i,i+1]L − L [i,i+1]R − L i,i+1 × L [i,i+1]L · (2 ≤ i ≤ N − 1) (L i,i+1 − L [i,i+1]L − L [i,i+1]R ) 1 = L ri,i+1 L [i,i+1]L × L [i,i+1]R · (1 ≤ i ≤ N − 1).
respectively, are obtained using
(14)
(15)
In order to reflect the topology of the bandpass spatial filer proposed in Fig. 1, the series inductors and their required shunt capacitances are used to model each transmission line [31] √ with characteristic impedance Z d = Z 0 × μr /εr , as shown in Fig. 2. This transformation is accurate as long as the electric length of each transmission line is small such that their phase θ = βh < 30° within the operation band of the filter. The effective shunt capacitances value shown in Fig. 2 are obtained from telegrapher’s equation of the short transmission lines ε0 εr h [1,2]L ε0 εr h A1 − 2 2 ε0 εr h [N−1,N ]R ε0 εr h N B C p N = C PN − − 2 2 ε0 εr h [i,i+1]L ε0 εr h [i−1,i ]R − Ci = Ci − 2 2 · (2 ≤ i ≤ N − 1). C p1 = C P1 −
(16) (17)
i
= ω pi L pi = cos(θinc )F(ai , ai − bi , λi , θinc )
(19)
= 4 sec(θinc)F(ai , bi , λi , θinc )
(20)
= 4F(bi − si , si , λi , θinc ) B1 B2 εeff = ω pi C pi = 1.75 + 0.6 Y0 Y0
(21) (22)
where λ Pi , ω pi , and F are given by [32] λi =
2π √ ω pi ε0 μ0 εeff
ω pi =
1
(23)
2π L pi C pi
F(ai , u, λi , θinc ) ai πu = (24) ln csc + G(ai , u, λi , θinc ) λi 2ai G(ai , u, λi , θinc )
2 (1−β 2)2 1− β4 (A+ + A− )+4β 2 A+ A− 1
= ×
2 2 4 2 1− β4 +β 2 1+ β2 − β8 (A+ + A− )+2β 6 A+ A− (25)
(18)
The calculated (L pi , C pi , L r[i,i+1] ) combinations provide the desired filter response for predefined dielectric thickness between the metallic layers for the design of multilayered FSS. It should be emphasized that the design flexibility for various dielectric thicknesses is compensated by adjusting the resonators and the aperture features [i.e., for different predefined dielectric electrical lengths, different values of resonators features (L pi , C pi , L r[i,i+1] ) will be obtained from the synthesis]. This is due to the fact that the coupling coefficient between two consecutive resonators not only depends on the aperture size but also the resonators features as well as the dielectric slabs. This scenario explains the unintentional change in the filter response obtained in [16] due to the lack of a synthesis procedure that should be used to compensate the detuning of desired filter characteristics. The final implementation step maps these electrical parameters to the physical dimensions of the FSS. For the example structures, the dimensions of the bandpass FSS layers shown in Fig. 1 can be obtained from approximate resonance equations of the array of square loop slots using their constituent elements (L pi , C pi ) [32]. The associate reactance X L p and susceptance BC p of the inductance and capacitance, i
X Z0 B1 Y0 B2 Y0 B Y0
with A ± =
1 2ai sin θinc λi
1± πu β = sin . 2ai
−
ai cos θinc λi
− 1;
(26)
(27)
In the above equations, ε0 and μ0 are the free space permittivity and permeability, εeff is the effective permittivity of the medium, and θinc is the angle of incidence of the EM wave. The effective permittivity εeff ≈ εr , since the metallic FSS is embedded on both sides by the same substrate. However, an accurate expression of εeff that depends on the substrates thicknesses and the period of the FSS can be found in [33]. Since the aperture layer is a wire grid, the physical size ri,i+1 of the apertures can be approximated using [34] a π(a − ri,i+1 ) L r[i,i+1] = μo μreff ln csc (28) 2π 2a where a is the unit cell size, and μreff is the effective permeability of the medium. This reverse engineering technique provides a better understanding of the physics behind the proposed structure. For this synthesis technique, the periodicity (a) of the design can be
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 5.
Topology of the proposed third-order bandpass FSS.
TABLE I L OW-PASS (B UTTERWORTH ) P ROTOTYPE N ORMALIZED E LEMENT AND E LECTRICAL PARAMETERS OF THE T HIRD -O RDER BANDPASS FSS FOR THE ECM S HOWN IN F IG . 3(a)
Fig. 6. FW simulation results for transmission and reflection coefficients of the four configuration designs FSSs along with the ECM results.
fixed in order to find the remaining physical dimensions of the design. Thus, it is noteworthy to mention that the set of solutions (bi , si , ri,i+1 ) are not unique. This flexibility of the synthesis process allows the designer to obtain feasible physical dimensions. As a proof of concept, this design guide will be used in the next section for the design of Butterworth multilayer filters of order N = 3 with various predefined (customized) thicknesses.
Fig. 7. Frequency response of Design #4. Simulated ECM (Fig. 5) and FW result using initial physical parameters compared with the one after the fine-tuning process.
III. P ROOF OF THE P ROPOSED S YNTHESIZED T ECHNIQUE A. Synthesis of a Third-Order Bandpass FSS With Customized Thickness In order to validate the procedure described in the previous section, a third-order Butterworth response-type bandpass FSS filter operating at center frequency f 0 = 10 GHz and fractional bandwidth of = 10%, is chosen to be implemented with various design total thicknesses comprised between λ0 /12 and λ0 /6. (λ0 is the free space wavelength at f 0 .) Following the design approach, four different configuration thicknesses of the FSS are implemented. RT/duroid 6010 from Rogers Corp. with available standard thicknesses is used as dielectric slabs. This substrate has a relative permittivity value of εr = 10.2 and loss tangent of tan δ = 0.0023. The third-order bandpass spatial filter is shown in Fig. 5. The design has a symmetrical architecture due to the Butterworth response type. The synthesis procedure begins by finding the electrical parameters of a third-order bandpass filter from admittance inverters shown in Fig. 3(a) using (1)–(4). The results are accessible in Table I. By following the procedure described in Section II, (5)–(18) are then used to find the electrical parameters (L pi , C pi , L r[i,i+1] ) in Fig. 2 for four different configuration design total thicknesses comprised between λ0 /12 and λ0 /6 using only available standard thicknesses.
Thus, different values of the resonators and aperture layers features (L pi j , C pi j , L r[i,i+1] j ) for 1 ≤ j ≤ 4, where j is denoted for each customized FSSs design, are obtained. The next step of the procedure is to map these electrical parameter values to the physical dimensions of the resonators and aperture layer using (19)–(28). However, these initial values are used as a starting point because approximate equations for the constituent elements of the square loop slot and the wire grid are used. Taking away the intense computational time, a simple fine-tuning process using HFSS is carried out to achieve the desired response. The detailed final physical dimensions resulting from the above steps are summarized in Table II. As expected, when the structure is compressed down to a thinner thickness, stronger coupling occurs between resonators, requiring smaller aperture dimensions to compensate the coupling strength for selective filtering response. The frequency response of all the four design configurations based on full-wave (FW) EM-simulation along with the ECM results is illustrated in Fig. 6. The results obtained show that the design can be scaled to different thicknesses while virtually maintaining the same filter characteristics (center frequency and bandwidth) and clearly abide to our expectations. As can be noticed that all the dielectric thicknesses used for the four designs are commercially available. In order to perceive the accuracy of the synthesis process, the ECM result and the FW result using initial physical parameters are compared with the one after the fine-tuning process for Design #4. As can be seen from Fig. 7, the margin of error is almost imperceptible.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PAYNE et al.: GENERALIZED SYNTHESIZED TECHNIQUE
7
TABLE II S UMMARY OF THE T HREE D ESIGN C ONFIGURATIONS
Fig. 8. Simulated filter response of Design #2 at various oblique angles of incident wave. (a) TE polarization. (b) TM polarization.
Fig. 9. Simulated filter response of Design #4 at various oblique angles of incident wave. (a) TE polarization. (b) TM polarization.
B. Fabrication and Measurement Results Both Design #2 and Design #4 are fabricated and then tested to experimentally validate our design technique. RT/duroid 6010 laminated with 1-oz copper is used for all dielectric layers. However, beforehand, the dielectric substrates are bonded together using the 4-mil Rogers RO4450F (εr = 3.52 and tan δ = 0.004) prepreg bonding layer, as shown in the inset of Fig. 10. The inserted bonding layers have a noticeable effect on the frequency response of the design since it adds extra length to the design thickness. So it is convenient to take into account their presence during the synthesis process. Only the capacitive gap between the resonators and the aperture size are modified to accommodate this perturbation. The new dimensions for the prototype #2 are: s1 = s2 = 0.2; r12 = 1.8 (in millimeters). For the prototype #4, we obtained: s1 = s2 = 0.15; r12 = 2 (in millimeters). The performance of the FSSs (Design #2 and Design #4) with the bonding layer is investigated across different angles of incidence for both transverse electric (TE) and transverse magnetic (TM) polarizations. Figs. 8 and 9 predict a robust filter response when the spatial filters are illuminated from various polarizations and oblique angles (0° ≤ θinc ≤ 60°). A total array size
of 120 mm × 120 mm corresponding to 4λ0 × 4λ0 (where λ0 is the free space wavelength at 10 GHz) is fabricated for both designs. Two frames using 3-D printer (uPrint SE Plus) are fabricated to align the multilayers and clamp each FSS prototype. A pair of PE9887-11 horn antennas is used to obtain the frequency response of the design in free-space measurement setup. A large metallic wall is used to block direct coupling between the transmitting and receiving antennas. A small aperture of the size of the FSS is carved out at the center to mount the FSS, as shown in Fig. 10. The calibration of the system is carried out by first measuring the transmission coefficient without the device under test, and then the reflection coefficient is also obtained when the aperture is cover by a thick conductive sheet. Gating window is applied to reduce ripples in the measurement caused by multiple reflections of the waves. The actual frequency response of the spatial filters is obtained by normalizing their measured results obtained in the presence of the FSSs with the calibrated values. As can be seen from Fig. 11, a good agreement between simulation (ECM and FW) and measurement results from both configuration designs is obtained. Although slight discrepancies between measured
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 10.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
FSS filter measurement setup.
Fig. 12. Measured filter response of Prototype #2 at various oblique angles of incident wave. (a) TE polarization. (b) TM polarization.
Fig. 11. Transmission coefficient of the FSSs showing simulated ECM and FW results taking into account the bonding layers along with the experimental measurement (Exp. Meas.) results for TEM plane wave.
and simulated filter response can be observed, these minor inconsistencies are mainly due to fabrication tolerance and imperfect assembly of the multilayers. However, the important design parameters (center frequency and bandwidth) are wellmaintained. The measured performance of the designs across different angles of incidence (0° ≤ θinc ≤ 60°) for both TE and TM polarizations is also experimentally investigated. Results obtained (Figs. 12 and 13) show robust and stable filter response for both prototypes. Although at 60°, a slight deviation of the center frequency is obtained. The average insertion loss obtained within the scan angle is about 1.5 dB as shown in the inset of Figs. 12 and 13. The increase of the insertion loss compared to the simulated result can be due to the finite size of the prototypes. The responses of the proposed design show a good filter performance for practical applications demanding flat in-band top and sharper band skirt for higher out-of-band suppression. Although no TZ is generated neither at the lower or upper sideband, at least 20-dB out-of-band suppression is obtained at frequencies less than 9 GHz and above 11 GHz for all designs which show better passband selectivity compared to the results obtained in [15]. For the design in [16], TZs are located at the upper side of the passband due to the presence of the mixed electric and magnetic coupling but the synthesis would be very complicated. A more robust response (compared to [24]) is achieved for a wide range of angle of incidences up to 40° for both TE and TM.
Fig. 13. Measured filter response of Prototype #4 at various oblique angles of incident wave. (a) TE polarization. (b) TM polarization.
IV. D ESIGN P ROFILE AND FABRICATION T OLERANCE Through our analysis, we have provided a degree of conformity for thickness customizable high-order bandpass FSS. However, some limitations are required for the design of a very thin profile. During the synthesis process, we have neglected the coupling between adjacent resonator layer and aperture layer and only consider the direct coupling between the resonators. However, for much closer proximity between
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PAYNE et al.: GENERALIZED SYNTHESIZED TECHNIQUE
the metallic layers, (in the order of ≤λ0 /100), the mutual coupling between the aperture layer and the resonator layer caused by the evanescent higher order Floquet modes, can be high and should be taken into account. This is not the case for all four designs, as the separation between adjacent resonators and aperture layer was thick enough (≥λ0 /47). This assumption is validated since no perceptible difference is obtained between the FW simulation response and the ECM response. For the design of very thin bandpass filter with much closer proximity between adjacent metallic layers (≤λ0 /100), two major problems can arise. First, the ECM needs to be adjusted. Second, the larger capacitance or inductance will be required which can limit the fabrication process. While the complexity of the fabrication is pertained by the dielectric profile, the sensitivity of the filter response to its design parameter should also be investigated. Uncertainties in the propriety of the material used can affect the response of the FSS in terms of center frequency, bandwidth, and insertion loss. A parametric study is provided to show the variation of the response against unavoidable tolerance in the dielectric constant, thickness, and the dielectric loss tangent of the substrate used. The sensitivity analysis is also performed for a slight change in the aperture size which can be due to the technology used for the fabrication process. Note that this feature is chosen because the aperture size mainly controls the external quality factor which depends on the coupling between the resonators. Characteristics of the frequency response include the center frequency, the bandwidth, and the insertion loss. The physical dimensions for the Design #2 (see Table II) are used as the nominal dimension for the analysis. Based on the coupled-resonator filter topology, the insertion loss of the FSS is usually dependent on the coupling level of the resonators and the material losses including the dielectric and metallic losses. For this parametric study, the coupling level is satisfied and we obtained the transmission coefficient of the FSS for various dielectric losses (tanδε ). The impact of the variation of the dielectric loss from 0.002 to 0.02 is shown in Fig. 14(a). It can be seen that by increasing the dielectric loss within that range, the insertion loss in the passband is mainly affected while the bandwidth is slightly reduced leaving the location of the center frequency virtually unchanged. With no dielectric loss (tan δε = 0), the insertion loss is about 0.4 dB, which is due to the metallic loss. When the dielectric loss increases to 0.02 (typical dielectric loss for FR4 substrate), the insertion loss in the passband increases to 3.2 dB which is unacceptable for practical application. Therefore, a low-loss dielectric is required for this design. For most available commercial substrates, the tolerance of the dielectric constant is at most ±0.25 and about ±0.05 mm for the thickness. The analysis on the uncertainty of the dielectric constant [see Fig. 14(b)] shows that the center frequency of the spatial filter is only affected as it slightly changes from 9.9 to 10.2 GHz. Then, a variation of all the substrates thickness within the range of their tolerance is investigated. The results shown in Fig. 14(c) predict a slight deviation of the center frequency from 9.9 to 10.1 GHz. Finally, the variation of the aperture size from 1.5 to 1.7 mm shows that the required external quality factor for the Butterworth response-type
9
Fig. 14. Impact of the parametric analysis on the frequency response of the third-order bandpass FSS. The nominal dimension (in millimeters) of Design #2 is used except for the parametric variable. (a) Effect of the dielectric loss from the substrate. (b) Uncertainty of the dielectric constant (εr ± 0.25). (c) Uncertainty of the substrates thickness (h ± 0.05). (d) Imprecision of the aperture size due to fabrication issues.
bandpass filter is disturbed. As a result, when the aperture size increases, the bandwidth of the FSS also increases, as shown in Fig. 14(d). The slight discrepancy in the bandwidth obtained in Fig. 11 could be due to this fabrication error. All these behaviors obtained from the parametric analysis are normal as it also happens for microwave filters. However, the sensitivity level of our proposed design is within a norm that can be tolerated by most practical applications.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
V. C ONCLUSION This paper introduces a design guide that allows simultaneous control of the EM wave response and design thickness of multilayered bandpass FSS filters. The proposed technique is based on coupled bandpass filter theory using admittance inverter. A generalized ECM is systematically used to enlighten the physical mechanism behind the interaction of the multilayers. It has been demonstrated that given center frequency and bandwidth of filter specification, the overall thickness of three-pole FSSs can be deliberately designed to vary between λ0 /12 and λ0 /6 without losing any generality of the spatial filter performance. The proposed technique allows the engineer to use readily available commercial dielectric materials with standard thickness for multilayered FSS design technology. This approach may be a good solution for the design of low-profile multilayered FSS with no restrictions on layer separations as it is the case for previous multilayered FSSs design. R EFERENCES [1] C. L. Holloway, E. F. Kuester, J. A. Gordon, J. O’Hara, J. Booth, and D. R. Smith, “An overview of the theory and applications of metasurfaces: The two-dimensional equivalents of metamaterials,” IEEE Antennas Propag. Mag., vol. 54, no. 2, pp. 10–35, Apr. 2012. [2] V. Agrawal and W. Imbriale, “Design of a dichroic Cassegrain subreflector,” IEEE Trans. Antennas Propag., vol. AP-27, no. 4, pp. 466–473, Jul. 1979. [3] G. H.-H. Sung, K. W. Sowerby, M. J. Neve, and A. G. Williamson, “A frequency-selective wall for interference reduction in wireless indoor environments,” IEEE Antennas Propag. Mag., vol. 48, no. 5, pp. 29–37, Oct. 2006. [4] F. Debarros, G. Eymin-Petot-Tourtellet, P. Piette, P. Lemaitre-Auger, and T.-P. Vuong, “MetaPaper: Cellulose wallpaper for WiFi and GSM electromagnetic waves filtering,” in Proc. Large-Area Organic Printed Electron. Conventions (LOPE-C), Jun. 2011, pp. 1–5. [5] G. Q. Luo et al., “Filtenna consisting of horn antenna and substrate integrated waveguide cavity FSS,” IEEE Trans. Antennas Propag., vol. 55, no. 1, pp. 92–98, Jan. 2007. [6] H. Zhou et al., “Filter-antenna consisting of conical FSS radome and monopole antenna,” IEEE Trans. Antennas Propag., vol. 60, no. 6, pp. 3040–3045, Jun. 2012. [7] B. Schoenlinner, A. Abbaspour-Tamijani, L. C. Kempel, and G. M. Rebeiz, “Switchable low-loss RF MEMS Ka-band frequencyselective surface,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 11, pp. 2474–2481, Nov. 2004. [8] L. W. Cross, M. J. Almalkawi, and V. K. Devabhaktuni, “Development of large-area switchable plasma device for X-band applications,” IEEE Trans. Plasma Sci., vol. 41, no. 4, pp. 948–954, Sep. 2013. [9] K. Payne, J. H. Choi, E. F. Peters, D. K. Wedding, C. A. Wedding, and J. Brunett, “Second-order plasma enabled tunable low-profile frequency selective surface based on coupling inter-layer,” in Proc. 46th Eur. Microw. Conf., Oct. 2016, pp. 309–312. [10] B. A. Munk, Frequency Selective Surfaces: Theory and Design. New York, NY, USA: Wiley, 2000. [11] R. Pous and D. M. Pozar, “A frequency-selective surface using aperturecoupled microstrip patches,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1763–1769, Dec. 1991. [12] J. H. Choi, J. S. Sun, and T. Itoh, “An alternative technique in designing a low-profile two-pole bandpass frequency selective surface (FSS) using aperture coupling interlayer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [13] K. Sarabandi and N. Behdad, “A frequency selective surface with miniaturized elements,” IEEE Trans. Antennas Propag., vol. 55, no. 5, pp. 1239–1245, May 2007. [14] M. Al-Joumayly and N. Behdad, “A new technique for design of low-profile, second-order, bandpass frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 57, no. 2, pp. 452–459, Feb. 2009. [15] M. Al-Joumayly and N. Behdad, “A generalized method for synthesizing low-profile, band-pass frequency selective surfaces with non-resonant constituting elements,” IEEE Trans. Antennas Propag., vol. 58, no. 12, pp. 4033–4041, Dec. 2010.
[16] K. Payne and J. H. Choi, “Third-order frequency selective surface based on inverter interlayers,” in Proc. IEEE Antennas Propag. Soc. Int. Symp. (APSURSI), Jun./Jul. 2016, pp. 953–954. [17] A. Djaiz and T. A. Denidni, “A new compact microstrip two-layer bandpass filter using aperture-coupled SIR-hairpin resonators with transmission zeros,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1929–1936, May 2006. [18] A. E. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [19] L. Accatino, G. Bertin, and M. Mongiardo, “A four-pole dual mode elliptic filter realized in circular cavity without screws,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 12, pp. 2680–2687, Dec. 1996. [20] C. Wang, H.-W. Yao, K. A. Zaki, and R. R. Mansour, “Mixed modes cylindrical planar dielectric resonator filters with rectangular enclosure,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 2817–2823, Dec. 1995. [21] H. W. Yao, C. Wang, and A. K. Zaki, “Quarter wavelength ceramic combline filters,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 12, pp. 2673–2679, Dec. 1996. [22] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. Hoboken, NJ, USA: Wiley, 2011. [23] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems. Hoboken, NJ, USA: Wiley, 2007. [24] S. M. A. M. H. Abadi and N. Behdad, “Inductively-coupled miniaturized-element frequency selective surfaces with narrowband, high-order bandpass responses,” IEEE Trans. Antennas Propag., vol. 63, no. 11, pp. 4766–4774, Nov. 2015. [25] D. S. Wang, P. Zhao, and C. H. Chan, “Design and analysis of a highselectivity frequency-selective surface at 60 GHz,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 6, pp. 1694–1703, Jun. 2016. [26] J.-S. Hong and M. J. Lancaster, “Aperture-coupled microstrip openloop resonators and their applications to the design of novel microstrip bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [27] R. Levy, “Filters with single transmission zeros at real or imaginary frequencies,” IEEE Trans. Microw. Theory Techn., vol. MTT-21, no. 4, pp. 172–181, Apr. 1976. [28] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 4, pp. 433–442, Apr. 1999. [29] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [30] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks and Coupling Structures. Norwood, MA, USA: Artech House, 1980. [31] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2012. [32] D. Ferreira, R. F. S. Caldeirinha, I. Cuiñas, and T. R. Fernandes, “Square loop and slot frequency selective surfaces study for equivalent circuit model optimization,” IEEE Trans. Antennas Propag., vol. 63, no. 9, pp. 3947–3955, Sep. 2015. [33] F. Costa, A. Monorchio, and G. Manara, “Efficient analysis of frequencyselective surfaces by a simple equivalent-circuit model,” IEEE Antennas Propag. Mag., vol. 54, no. 4, pp. 35–48, Aug. 2012. [34] O. Luukkonen et al., “Simple and accurate analytical model of planar grids and high-impedance surfaces comprising metal strips or patches,” IEEE Trans. Antennas Propag., vol. 56, no. 6, pp. 1624–1632, Jun. 2008.
Komlan Payne (S’13) received the B.Sc. degree in electrical engineering from Syracuse University, Syracuse, NY, USA, in 2014, where he is currently pursuing the Ph.D. degree. Since 2014, he has been a Research Assistant with the Microwave Laboratory, Department of Electrical Engineering and Computer Science, Syracuse University. His current research interests include antennas miniaturization, RF/microwave circuits, and frequency-selective surfaces and absorbers.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PAYNE et al.: GENERALIZED SYNTHESIZED TECHNIQUE
Kevin Xu (S’14) received the B.S. and M.S. degrees in electrical engineering from Syracuse University, Syracuse, NY, USA, in 2016 and 2017, respectively. He is currently pursuing the Ph.D. degree at the University at Buffalo, The State University of New York, Buffalo, NY, USA.
11
Jun H. Choi (S’12–M’14) received the B.S. degree in electrical engineering from the University of California, Irvine, CA, USA, in 2003, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles, Los Angeles, CA, USA, in 2006 and 2014, respectively. From 2014 to 2017, he was an Assistant Professor with the Department of Electrical Engineering and Computer Science, Syracuse University, NY, USA, where he is currently an Assistant Research Professor. He is currently an Assistant Professor with the Department of Electrical Engineering, University at Buffalo, The State University of New York, Buffalo, NY, USA. His current research interests include planar antennas, frequency-selective surfaces, and microwave devices based on CRLH, and metamaterial structures. Dr. Choi was a recipient of the 2017 AFOSR Young Investigator Award.
4794
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Asymmetrical Impedance Inverter for Quasi-Optical Bandpass Filters With Transmission Lines of Fixed Length Pak Kwan Loo , Graduate Student Member, IEEE, and George Goussetis , Senior Member, IEEE Abstract— Quasi-optical (QO) filter design is commonly based on the direct coupled bandpass filter topology with distributed resonator elements. Conventionally, prototypes with symmetrical impedance inverters are employed during synthesis, and as a result, this leads to resonators with custom lengths across the filter. This can be impractical from a QO filter implementation perspective, since spacers of arbitrary thickness may not be readily available. In this paper, we propose a novel impedance inverter equivalent network, which allows the development of prototypes where transmission lines across the filter structure to have predefined fixed lengths. Based on this technique, we developed a fourth-order QO filter prototype that composed of 2-D periodic arrays with subwavelength features. Its performance is verified with free-space measurement, and the measured results show good agreement with both full-wave and circuit simulation. Thus, it is shown that the proposed impedance inverter circuit can simplify the implementation of QO filters of higher order. Index Terms— Frequency selective surfaces (FSSs), impedance inverter, periodic structures, quasi-optical (QO) filter.
Fig. 1. General topology of QO filters comprises multilayered stacked 2-D periodic surfaces.
I. I NTRODUCTION
polarizer [16]–[18], and beam splitters [19]–[21] across RF and millimeter-wave (mm-wave) frequencies. A single planar periodic metallized array is able to provide up to first-order bandpass response. This response may not be suitable for more broadband applications, or for those applications, out of band rejection level is desirable. In order to achieve higher order responses and to address the poor out of band rejection level, multiple arrays can be cascaded. One classical approach for the design of higher order responses relies on coupling successive resonant metallized arrays with quarter wavelength spacers, which act as admittance inverters [22]. To elaborate further on the limitations of the approach presented in [22], we note that the aforementioned filter implementations rely on admittance inverter (J -inverter) values that are all equal to the characteristic impedance of the quarter wavelength spacers ( J = Z 0 ) [23]. The coupling coefficient value p between resonators (n, n + 1) is, therefore, determined by the reactance slope parameters x of the resonators according to [23] K . (1) p= √ x n x n+1
Q
UASI-OPTICAL (QO) filters are a class of engineered surfaces that selectively transmit or reflect different frequencies of incoming free-space waves. They are employed in diverse applications including earth observation radiometry [1], [2], antenna radomes [3], [4], and imaging systems [5]. The Dichroic film is an established technology for QO filter realization applicable more commonly at optical [6], [7] and THz frequencies [8], [9]. At lower frequencies, periodic metallized patterns supported by dielectric substrates, as depicted in Fig. 1, are a preferred technology for QO filters. They benefit from mature manufacturing processes (e.g., photolithography), thereby providing significant design flexibility with the geometries that can be etched. This class of structures has been used for the implementations of several QO filters [10]–[12] and other derivative applications such as absorber [13]–[15], Manuscript received January 25, 2018; revised April 29, 2018; accepted July 19, 2018. Date of publication October 25, 2018; date of current version November 5, 2018. This work was supported by the Engineering and Physical Science Research Council UK under Project EPSRC EP/P025129/1. (Corresponding author: Pak Kwan Loo.) P. K. Loo is with the Institute of Sensors, Signals and Systems, HeriotWatt University, Edinburgh EH14 4AS, U.K., and also with the Electrical and Electronics Department, Tunku Abdul Rahman University College, Kuala Lumpur 53300, Malaysia (e-mail:
[email protected]). G. Goussetis is with the Institute of Sensors Signals and Systems, HeriotWatt University, Edinburgh EH14 4AS, U.K. (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2873396
While full-wave techniques allow the estimation of the reactance slope parameter associated with a 2-D periodic metallized pattern, the inverse problem of designing a unit cell geometry that produces a specific reactance slope parameter value and a given resonant frequency is not trivial. As a
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
LOO AND GOUSSETIS: ASYMMETRICAL IMPEDANCE INVERTER FOR QO BANDPASS FILTERS
result, this implementation is not readily compatible with a deterministic synthesis technique, thereby posing challenges for transfer functions of higher order and heavily relying on the experience of the designer. Additional challenges related to the aforementioned implementation strategy arise as operating frequencies shift to mm-waves and beyond. On the one hand, as the operating frequency increases, the etching tolerances of conventional processes give rise to the increased degradation of the unloaded quality factor (Q-factor), which deteriorates the filter selectivity. Moreover, as discussed in more detail in [24], this approach has ultimate limits in the upper values of the reactance slope parameters that can be achieved. As a result, the realization of narrowband transfer functions becomes cumbersome. An alternative realization based on resonant metallized arrays is described in [25] and [26]. This design strategy exploits transmit and receive antennas coupled to a planar filter implementation where frequency selectivity is performed. These filters, printed in a nonradiating layer between two antenna layers, can be tailored to achieve higher order filter response. This approach has enabled simple and compact QO filter structure. The frequency response demonstrated in [25] and [26] shows high selectivity and promising polarization characteristics. While recognizing the advantages of this approach, it is noted that the realization of this class of filter is also strongly dependent on the substrate thickness and elaborates manufacturing processes to achieve desirable external Q-factor. More recently, based on the framework presented in [23] the work in [27] exploited direct-coupled cavity filter prototype that enables the deterministic design of this class of of filters using classical equivalent circuit approaches. According to this approach, the inverters are realized as periodic grids and the resonator as half-wavelength cavities. This strategy enables higher quality factors even at mm-wave frequencies and the realization of narrowband filters. This approach, however, leads to the requirement for each dielectric spacer to have a custom electrical length. This poses practical difficulties in the manufacturing as dielectric spacers at custom thicknesses are not readily available in standard PCB technology. Consequently, the demonstration of QO filters with this approach is limited up to second-order response [27]. Addressing the aforementioned challenge, this paper proposes a new class of impedance inverters that enable direct-coupled cavity filter prototypes with transmission lines of predefined fixed length. The proposed impedance inverters employ an asymmetrical π-equivalent network comprising a transmission line and two shunt susceptances at preselected electrical separation. It is demonstrated that by careful selection of the shunt susceptances, this class of impedance inverters enable filter prototypes where all resonators have a fixed and predefined length. Exploiting this class of prototypes, for the first time, we demonstrate the experimental results using the conventional PCB technology for a QO filter based on direct-coupled transmission line resonators of fourth order. The rest of this paper is organized as follows. Section II reviews the classical impedance inverter prototype for direct-coupled filters and introduces the proposed impedance inverter circuit.
4795
Fig. 2. Generalized impedance inverter (K -inverter) structure with series coupled-resonator bandpass filter circuit.
Fig. 3. (a) Equivalent circuit for a typical impedance inverter involving a shunt susceptance between transmission lines of a given electrical length. (b) Bandpass filter network exploiting the impedance inverter of Fig. 3(a). Note that the ith resonators absorb electrical lengths associated with impedance inverters K i−1,i and K i,i+1 and, hence, has electrical length αi .
Subsequently, by means of a specific example involving a filter at 15 GHz with fractional bandwidth 5%, Section III demonstrates the design procedure for the proposed filters and validates the design by means of the experimental results. II. T HEORY A. Definition of the Problem Impedance inverters enable bandpass filter prototypes with only series resonators, thereby facilitating the implementation of direct-coupled cavity filters using the generic equivalent prototype shown in Fig. 2. By definition, an impedance inverter is a two-port network, which presents at its input an impedance value Z in , that is dual of the load impedance Z L connected at the other end [28] K2 (2) ZL where the scaling factor K is a real value referred to as characteristic impedance of the inverter. As discussed in Introduction, a quarter wavelength transmission line acts as a narrowband impedance inverter. Another commonly employed impedance inverter comprises a susceptance symmetrically embedded in a transmission line of electrical length φ [Fig. 3(a)]. Substituting for the circuit of Fig. 3(a) to the prototype of Fig. 2 leads to the equivalent prototype shown in Fig. 3(b) for the direct-coupled filter. Z in =
4796
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 4. Graphical representation for a range of attainable numerical values for the normalized impedance inverter K /Z s and phase φ of the classical impedance inverter in Fig. 3(a) for different values of normalized susceptance B/Ys .
As shown in Fig. 3(a), the K -value and the electrical length φ are dependent on the susceptance value. For illustration purposes, the range of K -values and phase φ values are plotted against the normalized susceptance B/Ys for a range of ([−10, 10]) in Fig. 4. It is observable from Fig. 4 that when the susceptance is capacitive (positive value), the phase φ is positive. Contrarily, the phase φ is negative for an inductive susceptance (negative value). The electrical length φ contributed by the impedance inverter is absorbed within the adjacent resonators (which are otherwise half wavelength) such that the electrical length αi of the i th transmission line resonator in Fig. 3(b) becomes φi−1,i + φi,i+1 . (3) 2 For filters of higher order, K -values across the filter respect the symmetry condition ai = π +
K (i,i+1) = K (N+1−i,N+2−i) for i = 0, 1, . . . N/2
(4)
but vary for different values of i . Consequently, the length of the resonator in the equivalent prototype of Fig. 3(b) respects the symmetry αi = α(N+1−i,N+2−i) for i = 0, 1, . . . N/2
(5)
but varies for different values of i . As discussed in Introduction, the requirement for resonators with custom dimensions places challenges in the cost-efficient implementation of direct-coupled cavity QO filters based on periodic metallized arrays. B. Principles of the Proposed Solution The solution we propose targets the derivation of an equivalent prototype that maintains the compatibility with the classical direct-coupled prototype shown in Fig. 2, where all transmission lines have a fixed length that can be predetermined within a given range. As will be shown in the remaining
Fig. 5. (a) Proposed asymmetrical impedance inverter consists of transmission line with electrical length φl and susceptance of Y p and Yq that can be implemented using either lumped capacitor or inductor. (b) Bandpass filter network using the proposed asymmetrical impedance inverter, where now all resonators have the same length as all resonators are absorbing a constant phase. Also, note that φl is constant for all impedance inverters.
of this paper, this can be achieved by exploiting the impedance inverter of Fig. 5(a). This asymmetrical circuit comprises a transmission line with a predefined electrical length φl in a π-network layout involving shunt susceptance Y p and Yq . Transmission lines of electrical lengths of φ p and φq are, respectively, considered at the two ends of the network. We are considering the generic case, where the network is terminated with different characteristic impedances Z p and Z q , respectively. Unlike the classical impedance inverter network of Fig. 3(a), which has a single degree of freedom (namely, the selection of the shunt susceptance B), the proposed asymmetrical impedance inverter equivalent network provides two degrees of freedom, namely, the selection of the two shunt susceptances Y p and Yq . Using this equivalent circuit, a required equivalent K -value from the prototype of Fig. 2 can be obtained from several combinations of Y p and Yq values. Significantly, each pair of Y p and Yq values leads to a different pair of electrical lengths φ p and φq . This flexibility enables to select the electrical lengths associated with the i th resonator such that φq(i−1,i) + φ p(i,i+1) = c (constant).
(6)
Once this condition is satisfied, and upon substituting for the proposed K -inverter of Fig. 5(a), the original prototype of Fig. 2 is transformed to the one shown in Fig. 5(b). In this prototype, all resonators have electrical length of γ = π + c.
(7)
The QO implementation of Fig. 5(b), therefore, will only require two values of the electrical lengths of the dielectric spacers, namely, φl and γ . It is noted that the values of both φl in the equivalent network of Fig. 5(a) and γ in (7) can be preselected within a range of values, such that the
LOO AND GOUSSETIS: ASYMMETRICAL IMPEDANCE INVERTER FOR QO BANDPASS FILTERS
4797
final equivalent prototype in Fig. 5(b) matches the available dielectric spacers. In practice, when low-cost manufacturing is targeted using the conventional PCB technologies, it is convenient to select for φl the value that corresponds to the preferred dielectric substrate. This will allow etching the K -inverter on either side of a doubly metallized PCB substrate, and therefore, there is no additional complexity in the dielectric stack when compared, e.g., with [27] (Fig. 4). The choice of γ relies on the design priorities; it can be based on the availability of high-permittivity substrates when profile and angular stability are performance priorities or the availability of lowpermittivity low-loss substrates (e.g., honeycomb or foam) when high unloaded Q is preferred. C. Analysis of the Proposed K-Inverter The equivalent K -value from the prototype of Fig. 5(a) can be obtained by exploiting its ABCD matrix to establish a relationship with the ideal K -type inverter. In particular, the ABCD matrix for the asymmetrical two-port network shown in Fig. 5(a) can be expressed as A jB A jB × [T ]q (8) = [T ] p × jC D π jC D where the middle term represents the ABCD matrix of the asymmetrical π-network A jB = [Y ] p × [T ]l × [Y ]q . (9) jC D π In (8) and (9), [T ]m (m = p, l, q) are the ABCD matrices associated with transmission lines ⎤ ⎡ j Z m sinφm cosφm ⎦ [T ]m = ⎣ 1 (10) j sinφm cosφm Zm while [Y ] p,q is the ABCD matrix of the shunt susceptances 1 0 [Y ] p.q = . (11) j Y p,q 1 In order for the network of Fig. 5(a) to act as the K -type inverter, the following condition, which accounts for the entire K -inverter equivalent circuit, should hold: 0 jK A jB A jB j = × [T ]q . = [T ] p × jC D jC D π 0 K (12) It can be shown that (12) holds once electrical lengths at either end of the network of Fig. 3(b) are selected as [29]
⎡ ⎤ BD 2 − AC Z q 1 Zq ⎦ (13a) φ p = tan−1 ⎣ Z Z q B2 2 2 A Z p + Z p Z q − C 2 Z p Z q − D 2 Z qp
⎡ ⎤ BA 2 − DC Z p Zp 1 −1 ⎣ ⎦ . (13b) φq = tan 2 Z Z 2 D 2 p + B − C 2 Z p Z q − A2 q Zq
Z p Zq
Zp
Fig. 6. Color-map and the contour lines represent the normalized impedance inverter and phases of φ p and φq , respectively, for the range of susceptance values assigned to Y p and Yq .
The normalized impedance inverter κ is obtained as K 1 κ= (1 − 1 − |S21 |2 ) = |S21 | Z p Zq
(14)
where S21 is referred to as the transmission coefficient of the asymmetrical two-port network, which can be expressed as [29] ⎡ 2 Zq Zp 1 1 = 1+ ⎣ A −D |S21 |2 4 Zp Zq 2 ⎤
B + − C Z p Z q ⎦ . (15) Z p Zq Equations (8)–(15) enable to identify pairs of (Y p , Yq ), which produce a required K -value. Importantly, each pair of (Y p , Yq ) corresponds to different values for the pair of electrical lengths (φ p , φq ); as a result, a range of (φ p , φq ) pairs is available for every K -value that is prescribed in the equivalent circuit. Since the resulting set of equations are nonlinear and transcendental, it is practical to solve (8)–(15) numerically. This is reported in Fig. 6, which plots the equivalent normalized K -values and associated electrical lengths (φ p , φq ) when the shunt susceptance (Y p , Yq ) varies in the range ([−0.03, 0.03], [−0.03, 0.03]). The plots are produced for a prescribed φl value of 40°. As shown in Fig. 6, for each pair of (Y p , Yq ), there is a corresponding pair of electrical lengths (φ p , φq ) for which the equivalent circuit of Fig. 5(a) acts as a K -inverter. It is noted that with appropriate selection of (Y p , Yq ), this network can produce the entire range of the normalized κ ∈ [0, 1]. It is further noted that the plot of Fig. 6 exhibits symmetry associated with the exchange of Y p ↔ Yq ; hence, the second and fourth quadrants of Fig. 6 are equivalent. Unlike the conventional impedance inverter, the proposed impedance inverter allows flexibility to select range of (φ p , φq ) that can satisfy (6). Of more practical interest
4798
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
are regions of Fig. 6, where the phases of either side of the K -inverter are of opposite sign. This is so since for this range, φqi−1,i will be of opposite sign to φ pi,i+1 , and therefore, (6) can be satisfied for a wider range of (φ p , φq ) values. By means of a design example, Section III demonstrates how Fig. 6 (and other equivalent figures for different values of φl ) can be used to derive a filter prototype as in Fig. 5(b), where all transmission line resonators have a fixed and predefined electrical length γ . III. D ESIGN E XAMPLES AND VALIDATION OF M EASUREMENT R ESULTS In this section, a fourth-order Chebyshev bandpass filter with passband ripple of 0.01 dB and fractional bandwidth of 5% is used as an example to illustrate the synthesis of the filter based on the proposed impedance inverter. We are targeting an implementation of this filter at 15 GHz, utilizing dielectric spacers with dielectric constant εr = 1.07 as resonators. This is compatible with the available 31-HF Rohacell Foam of thickness h = 10 mm. At this operating frequency, the electrical length γ of the dielectric spacer corresponds to 186.19° for all four resonators. Commercially available laminates from Taconic TLY-5 with a dielectric constant εr = 2.2 and thickness l = 1.5 mm are used to support the two periodic arrays that implement the shunt susceptances Y p and Yq . Using this as an example, Section III-A illustrates a generic design methodology for this class of filters. The numerical and experimental results are reported in Section III-B to validate the performance. A. Design Procedure Following the theoretical framework reported in [23], the normalized impedances for this prototype can be found as κ0,1 = κ4,5 = 0.3264, κ1,2 = κ3,4 = 0.08491, and κ2,3 = 0.06236. The key step of the design procedure is to identify the pairs of (Y p , Yq ) for each K -inverter, and simultaneously, (7) holds for γ = 186.19°. The electrical length φl is 40° at the operating frequency, and therefore, the numerical results reported in Fig. 6 can directly be utilized. In order to facilitate the design, Fig. 7 is constructed with the data presented in Fig. 6, where now contours of κ-values are plotted against the associated phases (φ p , φq ). Only three contours are plotted corresponding to the three κ-values for this prototype. As discussed in Section II, we search for the solutions in the range where the phases of φ p and φq are opposite sign. The range of (φ p , φq ) from the second or the fourth quadrants of Fig. 7 is, thus, the region of practical interest. The design aim now reduces to the identification of points across the three contours, where (6) holds for c = 6.19° for all values of i . Without the loss of generality, it is selected that the phases of φ p and φq are negative and positive, respectively; hence, this implies the relevant range in this case falls on the second quadrant of Fig. 7. It is noted that while the highest value of κ0,1 = 0.3264 provides a wide range of compatible phases (φ p , φq ), the lower values κ1,2 = κ3,4 = 0.08491 and κ2,3 = 0.06236 are limited to a narrower range of associated values for (φ p , φq ).
Fig. 7. values.
Contour of φ p and φq for specific normalized impedance inverter
TABLE I C OMPUTED P HASES OF φ p AND φq
Since (6) entails phases associated with successive phase inverters, it is practical to limit the search for solutions in the minimum common range of (φ p , φq ) for all K -inverters. From Fig. 7, we can identify this as the range −20° ≤ φ p ≤ 0° and 0° ≤ φq ≤ 20°. The design can commence with an arbitrary choice of a point along the κ0,1 contour within this range. Subsequently, from this selected point, the other phases of φ p and φq for the adjacent impedance inverters are then computed according to the constant phase condition in (6). Once all points are identified, the equivalent inductance and capacitance values can be obtained from Fig. 6. It is noted that unlike the prototype of Fig. 3(b), the prototype of Fig. 5(b) obtained by this procedure is not symmetric. This is due to the fact that the K -inverters are not symmetric. Moreover, clearly, this procedure leads to an infinite number of solutions to the design problem; the range of capacitance and inductance values that satisfy the design problem is linked with the common range of (φ p , φq ) for all K -inverters. The designer can exploit this flexibility to minimize geometrical tolerances during the implementation of the QO filter. In order to demonstrate the procedures outlined above, the pair of (φ p0,1 , φq0,1 ) = (−9.91°, 14.45°) from the contour line correspond to κ0,1 is selected. Thus, using (6), the phase of φ p associate to κ1,2 is calculated as φ p1,2 = −8.26°. Using this methodology, the other phases of φ p and φq are computed and are listed in Table I. Once the points (φ p , φq ) are identified for all the impedance inverter from Fig. 7, these are mapped to required susceptances Y p and Yq that provide the equivalent κ-inverter value.
LOO AND GOUSSETIS: ASYMMETRICAL IMPEDANCE INVERTER FOR QO BANDPASS FILTERS
4799
Fig. 9. (a) FSS unit cell for inductive grids. (b) FSS unit cell for capacitive grids. TABLE III C IRCUIT PARAMETERS AND G EOMETRICAL D IMENSIONS FOR F OURTH -O RDER F ILTER Fig. 8. Contour lines for specific normalized impedance inverter values and selected phase of φ p and φq based on Fig. 6. TABLE II S USCEPTANCE VALUES FOR F OURTH -O RDER F ILTER
As mentioned above, this can be achieved with the aid of Fig. 6, which for illustration purposes is redrawn in Fig. 8. In particular, Fig. 8 shows the corresponding region of interest, where the three κ-value contours involved in the design are plotted together with the identified contours for φ p and φq . The identified points of (φ p , φq ) for all κ-inverters are marked and as anticipated, they lie at the points where the three related curves cross. The corresponding susceptance values can be read from this plot and is tabulated in Table II. As observed above, the equivalent circuit derived with this process is no longer symmetrical; this can be seen since the κ1,2 point is not identical with the κ3,4 . The asymmetry is attributed to the asymmetry of the K -inverter equivalent circuit in Fig. 5(a). Using the contour curves in Fig. 8 and with the aid of equivalent circuit in Fig. 5(a), one can obtain the inductances and capacitances values by tuning slightly to match the transmission coefficient and reflection phases of the required impedance inverter. B. Numerical and Experimental Results Once the appropriate susceptances Y p and Yq are concluded, the final step is to translate these susceptances to an equivalent physical structure for realization. The asymmetrical network can be physically realized with standard PCB prototyping, where the laminate represents the transmission line sandwiched between two parallel lumped components that can be printed on the two sides of the laminates. The lumped components are the capacitor and inductor that can be implemented with 2-D printed periodic array of capacitive patches and inductive wire grids, respectively. Fig. 9 shows typical array unit cells that perform as an inductor and a capacitor,
respectively, when illuminated by a plane wave. The shaded and unshaded areas represent conductor and dielectric domain, respectively. The orientation of the printed conductor is symmetrical, making it insensitive for both vertical and horizontal polarization plane waves. For simplicity, the periodicity D is kept the same for both arrays. Closed-form expressions for the dimensions of both capacitive and inductive arrays are available in [30] 2D πsi,i+1 ln csc (16) Ci,i+1 = ε0 εi,i+1 π 2D
D πwi,i+1 . (17) L i,i+1 = μ0 μi,i+1 ln csc 2π 2D The physical and geometrical dimensions of respective inductive or capacitive frequency selective surface (FSS) are first primarily estimated using (16) and (17). The geometrical dimension is then optimized using electromagnetic full-wave simulation to match the frequency response of the equivalent circuit of the asymmetrical structure according to Fig. 5(a). The fine-tuned shunt susceptance values and final dimension of the FSS are presented in Table III. A schematic of the stack-up is shown in Fig. 10. A prototype was fabricated for experimental validation using the standard PCB lithography. The periodic arrays and the spacers are bonded together by using Repositionable 75 adhesive spray from 3M. The adhesive spray has negligible impact on electrical length of the transmission lines. The overall thickness of the designed filter in terms of
4800
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 10. Side view of the fourth-order bandpass FSS filter depicting relative position of the FSS array, substrate and spacer resonator.
Fig. 11. Photograph of the fabricated fourth-order QO bandpass filter prototype. Inset: zoomed area of the first-layer array.
Fig. 12. (a) Photograph of the measurement setup to characterize the transmission coefficient S21 of the fourth-order QO bandpass filter, where the prototype is positioned at the window opening between the transmit and receive antennas. (b) Side view of the measurement setup illustrating the relative position of the transmit and receive antennas.
guided wavelength is 2.457λg, while the unit cell dimensions are 0.26λ0 . A photograph of the fabricated prototype is shown in Fig. 11.
Fig. 13. Comparison between measured results of the fourth-order prototype with full-wave and circuit simulation for (a) TE polarization and (b) TM polarization.
The fabricated prototyped was experimentally characterized using standard free-space techniques, as described in [31]. In particular, the QO filter is placed in a window of an otherwise absorbing surface. Horn antennas placed on either side of the QO filter acting as transmitter and receiver accordingly were used for the characterization of the transmission coefficient. The experimental setup is shown in Fig. 12. Time-gating is applied to reduce the effect of standing waves. The measured results are shown in Fig. 13, where for comparison, the full-wave results as well as the results obtained from the synthesized circuit are superimposed. Good agreement with the full-wave results is obtained. Some discrepancies are attributed to manufacturing tolerances and also on the measurement imperfections; they are commensurate with measurements reported in [31] and [32]. Fig. 13 also depicts the full-wave and circuit responses for the reflection coefficient S11 . The experimental arrangement to measure reflection is not as trivial, since the transmit and receive horns should physically overlap. However, the overall agreement of the measurement with the simulated results in terms of the transmission coefficient validates the synthesis procedure. IV. C ONCLUSION A new asymmetrical impedance inverter is proposed that enables the realization of QO filters with spacers of
LOO AND GOUSSETIS: ASYMMETRICAL IMPEDANCE INVERTER FOR QO BANDPASS FILTERS
fixed thickness. A deterministic synthesis procedure for the proposed class of filters is introduced. The proposed technique has the flexibility to adapt to a range of substrate thicknesses. The design methodology is both theoretically and experimentally validated through simulation and measurement. The proposed methodology addresses the limited availability of spacers with custom thickness, hence facilitating the implementation of QO filters of higher order and at higher frequencies. It is noted that the proposed technique exploits resonators formed as half-wavelength cavities and, therefore, typically results in an increase of overall thickness of the filter structure and consequently, the filter structure is more susceptible to the angle of incidence. However, there are diverse applications that involve QO filters at fixed angle of incidence, for example, in radiometry and sensing applications, deep space antennas, and plasma characterizations. We also note that at higher frequency implementation, for example, in mm-wave and THz frequencies, larger substrate thickness can be favorable in manufacturing perspective and significantly in conforming to higher Q-factor. For alternative applications, including more broadband filters, alternative implementation strategies should also be traded-off. Significantly, the proposed impedance inverter also allows correcting by design any small discrepancies in the thickness variation of the spacers. Indeed, this can be accounted in the selection of a different phase to be absorbed in the adjacent resonators, such that the resonant frequency is maintained even for a slightly different thickness of a spacer. R EFERENCES [1] R. J. Martin and D. H. Martin, “Quasi-optical antennas for radiometric remote-sensing,” Electron. Commun. Eng. J., vol. 8, no. 1, pp. 37–48, Feb. 1996. [2] R. Dickie, R. Cahill, V. Fusco, H. S. Gamble, and N. Mitchell, “THz frequency selective surface filters for Earth observation remote sensing instruments,” IEEE Trans. THz Sci. Technol., vol. 1, no. 2, pp. 450–461, Nov. 2011. [3] E. Pelton and B. Munk, “A streamlined metallic radome,” IEEE Trans. Antennas Propag., vol. AP-22, no. 6, pp. 799–803, Nov. 1974. [4] H. Zhou et al., “Filter-antenna consisting of conical FSS radome and monopole antenna,” IEEE Trans. Antennas Propag., vol. 60, no. 6, pp. 3040–3045, Jun. 2012. [5] V. Sanphuang, W. G. Yeo, J. L. Volakis, and N. K. Nahar, “THz transparent metamaterials for enhanced spectroscopic and imaging measurements,” IEEE Trans. THz Sci. Technol., vol. 5, no. 1, pp. 117–123, Jan. 2015. [6] I. Moreno, J. J. Araiza, and M. Avendano-Alejo, “Thin-film spatial filters,” Opt. Lett., vol. 30, no. 8, pp. 914–916, Apr. 2005. [7] H. A. Macleod, Thin-Film Optical Filters, 4th ed. Boca Raton, FL, USA: CRC Press, 2010. [8] G. Winnewisser, F. T. Lewen, M. Schall, M. Walther, and H. Helm, “Characterization and application of dichroic filters in the 0.1-3-THz region,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 4, pp. 744–749, Apr. 2000. [9] A. Ebrahimi et al., “Second-order terahertz bandpass frequency selective surface with miniaturized elements,” IEEE Trans. THz Sci. Technol., vol. 5, no. 5, pp. 761–769, Sep. 2015. [10] R. Cahill, I. M. Sturland, J. W. Bowen, E. A. Parker, and A. C. De Lima, “Frequency selective surfaces for millimetre and submillimetre wave quasi optical demultiplexing,” Int. J. Infr. Millim. Waves, vol. 14, no. 9, pp. 1769–1788, Sep. 1993. [11] R. Dickie, R. Cahill, H. S. Gamble, V. F. Fusco, A. G. Schuchinsky, and N. Grant, “Spatial demultiplexing in the submillimeter wave band using multilayer free-standing frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 53, no. 6, pp. 1904–1911, Jun. 2005.
4801
[12] S. Biber, M. Bozzi, O. Gunther, L. Perregrini, and L. P. Schmidt, “Design and testing of frequency-selective surfaces on silicon substrates for submillimeter-wave applications,” IEEE Trans. Antennas Propag., vol. 54, no. 9, pp. 2638–2645, Sep. 2006. [13] O. Luukkonen, F. Costa, C. R. Simovski, A. Monorchio, and S. A. Tretyakov, “A thin electromagnetic absorber for wide incidence angles and both polarizations,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 3119–3125, Oct. 2009. [14] F. Costa, A. Monorchio, and G. Manara, “Analysis and design of ultra thin electromagnetic absorbers comprising resistively loaded high impedance surfaces,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1551–1558, May 2010. [15] W. Tang, G. Goussetis, H. Legay, and N. J. G. Fonseca, “Efficient synthesis of low-profile angularly-stable and polarizationindependent frequency-selective absorbers with a reflection band,” IEEE Trans. Antennas Propag., vol. 63, no. 2, pp. 621–629, Feb. 2015. [16] M. Euler, V. Fusco, R. Cahill, and R. Dickie, “325 GHz single layer sub-millimeter wave FSS based split slot ring linear to circular polarization convertor,” IEEE Trans. Antennas Propag., vol. 58, no. 7, pp. 2457–2459, Jul. 2010. [17] E. Doumanis, G. Goussetis, J. L. Gomez-Tornero, R. Cahill, and V. Fusco, “Anisotropic impedance surfaces for linear to circular polarization conversion,” IEEE Trans. Antennas Propag., vol. 60, no. 1, pp. 212–219, Jan. 2012. [18] S. M. A. M. H. Abadi and N. Behdad, “Wideband linear-tocircular polarization converters based on miniaturized-element frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 64, no. 2, pp. 525–534, Feb. 2016. [19] R. Watanabe, “A novel polarization-independent beam splitter,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 7, pp. 685–689, Jul. 1980. [20] R. Dickie et al., “Micromachined 300 GHz high Q resonant slot frequency selective surface filter,” Proc. Inst. Electr. Eng.–Microw., Antennas Propag., vol. 151, no. 1, pp. 31–36, Feb. 2004. [21] J. R. Cooper, S. Kim, and M. M. Tentzeris, “A novel polarizationindependent, free-space, microwave beam splitter utilizing an inkjetprinted, 2-D array frequency selective surface,” IEEE Antennas Wireless Propag. Lett., vol. 11, pp. 686–688, 2012. [22] B. A. Munk, Frequency Selective Surfaces: Theory and Design. New York, NY, USA: Wiley, 2000. [23] G. L. Matthaei, L. Young, and E. M. Jones, Design of Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA, USA: Artech House, 1980. [24] J. J. Sanz-Fernandez, R. Cheung, G. Goussetis, and C. Mateo-Segura, “Power stored and quality factors in frequency selective surfaces at THz frequencies,” IEEE Trans. Antennas Propag., vol. 59, no. 6, pp. 2205–2216, Jun. 2011. [25] R. Pous and D. M. Pozar, “A frequency-selective surface using aperture-coupled microstrip patches,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1763–1769, Dec. 1991. [26] A. Abbaspour-Tamijani, K. Sarabandi, and G. M. Rebeiz, “Antennafilter-antenna arrays as a class of bandpass frequency-selective surfaces,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 8, pp. 1781–1789, Aug. 2004. [27] S. M. A. M. H. Abadi and N. Behdad, “Inductively-coupled miniaturized-element frequency selective surfaces with narrowband, high-order bandpass responses,” IEEE Trans. Antennas Propag., vol. 63, no. 11, pp. 4766–4774, Nov. 2015. [28] J.-S. Hong, Microstrip Filters for RF/Microwave Applications. Hoboken, NJ, USA: Wiley, 2011, p. 635. [29] R. Levy, “A generalized design technique for practical distributed reciprocal ladder networks,” IEEE Trans. Microw. Theory Techn., vol. MTT-21, no. 8, pp. 519–526, Aug. 1973. [30] O. Luukkonen et al., “Simple and accurate analytical model of planar grids and high-impedance surfaces comprising metal strips or patches,” IEEE Trans. Antennas Propag., vol. 56, no. 6, pp. 1624–1632, Jun. 2008. [31] M. Al-Joumayly and N. Behdad, “A new technique for design of low-profile, second-order, bandpass frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 57, no. 2, pp. 452–459, Feb. 2009. [32] M. Gao, S. M. A. M. H. Abadi, and N. Behdad, “A dual-band, inductively coupled miniaturized-element frequency selective surface with higher order bandpass response,” IEEE Trans. Antennas Propag., vol. 64, no. 8, pp. 3729–3734, Aug. 2016.
4802
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Pak Kwan Loo (GS’16) was born in Malaysia in 1981. He received the B.Eng. degree in electronics system engineering from Sheffield Hallam University, Sheffield, U.K., in 2004, and the M.Sc. degree in electronics from Queen’s University Belfast, Belfast, U.K., in 2005. He is currently pursuing the Ph.D. degree at Heriot-Watt University, Edinburgh, U.K. From 2005 to 2009, he was an Application Engineer for RF and fiber optics with Huber + Suhner (M) Sdn Bhd. Since 2009, he has been a Member of the Teaching Staff with the Faculty of Engineering, Tunku Abdul Rahman University College, Kuala Lumpur, Malaysia. His current research interests include frequency selective surfaces and microwave filters.
George Goussetis (S’99–M’02–SM’12) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1998, the B.Sc. (First Class) degree in physics from University College London, London, U.K., in 2002, and the Ph.D. degree from the University of Westminster, London, in 2002. In 1998, he joined Space Engineering, Rome, Italy, as an RF Engineer. In 1999, he joined the Wireless Communications Research Group, University of Westminster, as a Research Assistant. From 2002 to 2006, he was a Senior Research Fellow with Loughborough University, Loughborough, U.K. He was a Lecturer (Assistant Professor) with Heriot-Watt University, Edinburgh, U.K., from 2006 to 2009 and a Reader (Associate Professor) with Queen’s University Belfast, Belfast, U.K., from 2009 to 2013. In 2013, he joined Heriot-Watt University as a Reader and was promoted to Professor in 2014. He has authored or co-authored over 200 peer-reviewed papers, five book chapters, and one book. He holds two patents. His current research interests include the modeling and design of microwave filters, frequency selective surfaces and periodic structures, leaky-wave antennas, microwave sensing and curing, and numerical techniques for electromagnetics. Dr. Goussetis was a co-recipient of the 2011 European Space Agency Young Engineer of the year prize, the 2011 EuCAP Best Student Paper Prize, the 2012 EuCAP Best Antenna Theory Paper Prize, and the 2016 Bell Labs Prize. He serves as an Associate Editor of IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS . He held a Research Fellowship from the Onassis Foundation in 2001 and from the U.K. Royal Academy of Engineering from 2006 to 2011, and the European Marie-Curie experienced Researcher Fellowship from 2011 to 2012.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A New Balanced Bandpass Filter With Improved Performance on Right-Angled Isosceles Triangular Patch Resonator Qianwen Liu, Jianpeng Wang , Lei Zhu , Fellow, IEEE, Gang Zhang, Feng Huang, and Wen Wu, Senior Member, IEEE
Abstract— A new approach for the design of a balanced bandpass filter (BPF) is presented in this paper. Stemming from the mode degeneration properties of a square patch resonator, a complete set of resonant modes in a right-angled isosceles triangular patch resonator (RAITPR) is thoroughly investigated so as to construct a modified RAITPR with one electric wall and two magnetic walls along its three sides for filter design. This proposed resonator can support the half-TM10 -mode of the RAITPR, and thus, it is named as the half-mode RAITPR here. By properly adopting the relationship between one RAITPR and two coupled half-mode RAITPRs, a second-order coupling topology is presented. Its working principle is then explained by using the field distribution of the desired resonant modes involved. A few highorder balanced filters are in final implemented and fabricated to evidently demonstrate their good differential-mode filtering selectivity and high common-mode suppression. Good agreement is achieved between the simulated and measured results for all the designed three balanced BPFs. To further improve the concerned out-of-band rejection performance, the feeding scheme of the modified structure has been discussed. Index Terms— Balanced bandpass filter (BPF), commonmode (CM) suppression, differential-mode (DM) passband, field distribution, right-angled isosceles triangular patch resonator (RAITPR).
I. I NTRODUCTION
W
ITH the rapid development of the advanced modern communication system, a variety of microwave balanced circuits have been becoming more and more popular attributing to their high immunity to the environmental noise and electromagnetic (EM) interference compared with their unbalanced counterparts [1]. To follow this trend, various balanced bandpass filters (BPFs) have been extensively studied and developed in the recent few years [2]–[19]. Manuscript received September 27, 2017; revised January 29, 2018 and July 14, 2018; accepted July 31, 2018. This work was supported in part by the National Natural Science Foundation of China under Grant 61571468 and Grant 61771247, in part by the Macao Science and Technology Development Fund under Grant 091/2016/A2, and in part by the University of Macau under Grant MYRG2015-00010-FST and Grant MYRG2017-00007FST. (Corresponding author: Jianpeng Wang.) Q. Liu, J. Wang, G. Zhang, F. Huang, and W. Wu are with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing 210094, China (e-mail:
[email protected]). L. Zhu is with the Faculty of Science and Technology, Department of Electrical and Computer Engineering, University of Macau, Macau, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2868357
Typically, balanced BPFs can be classified into two key types based on their distinctive common-mode (CM) rejection mechanisms. The first kind of balanced BPFs is featured with inherent CM rejection. For instance, by adopting a bandstop structure, its CM rejection is effectively achieved as reported in [2], [5], [6], and [8]. In addition, a few balanced BPFs are designed based on the mechanism that the input CM signal cannot be excited in the adopted resonator as the required magnetic wall on the symmetrical plane of the resonator cannot be realized at all, thus realizing CM rejection [11], [12], [14], [15], [18], [19]. The other kind is formed with a virtue of extra elements, such as the open-/short-ended coupled lines [3], [4], [7], defected ground structures [10], and etched slots [17], to attain the CM rejection as desired. On the other side, all the reported balanced BPFs can be alternatively classified into three main categories according to different resonator formats. The first kind is to construct a balanced BPF by a few types of transmission line resonators. With this method, several balanced BPFs have been proposed with the use of planer microstrip lines [2]–[8], double-sided strip lines [9], folded stepped-impedance resonators [10], and multilayer microstrip-slotline transitions [11]. Nevertheless, these filters commonly suffer from the high-conductor loss and low power handling capability. To overcome these drawbacks, the second type is presented to explore an alternative class of balanced BPFs based on the substrate-integrated waveguide (SIW) resonators [12]–[15]. These planar SIW cavities become very attractive in filter design due to their low loss and high power handling capability over the above line resonators. Meanwhile, the patch resonators on a thin thickness not only hold the above-mentioned two features but also they are much simple and straightforward in analysis and design compared with the SIW cavities as discussed in [16]. To the best of our knowledge, only limited works have been carried out on a balanced BPF on the patch resonators. Despite the square patch resonators have been applied to the balanced BPFs in [17] and [18], its resonant properties have not yet been well characterized for the design of a balanced BPF with the good differential-mode (DM) filtering selectivity and high CM suppression. Compared with the square and circular patch resonators, very few reported work has been executed for the triangular patch resonator [19]–[22], especially for the rightangled isosceles triangular patch resonator (RAITPR). In fact, the RAITPR can not only maintain a similar field distribution
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
to the square patch resonator but also can effectively miniaturize its overall size as highly demanded in the design of balanced BPFs [23]. With such advantages, we presented a balanced BPF with RAITPRs in [19]. However, both the performances of DM superposition and CM suppression are accomplished via a second-order coupling structure with four RAITPRs in this design, which means that the basic unit cell of CM suppression must be composed of four RAITPRs. In addition, this initial work exhibits poor harmonic suppression in the upper stopband due to the overlook of resonant properties for higher order resonant modes. The motivation of this paper is to present a new approach for the design of a balanced BPF design on the RAITPR. Originating from the mode degenerate properties of a square patch resonator, the resonant properties of the RAITPR are initially studied. Subsequently, a half-mode RAITPR with one electric wall and two magnetic walls in its three sides is developed, and it can keep half a bisection of the TM10 mode on the RAITPR, while suppressing the TM11 mode. In this context, the balanced BPF can be designed by using the resonant properties of both the RAITPR and half-mode RAITPR. By properly setting up a coupling scheme between two halfmode RAITPRs and one RAITPR, a second-order balanced BPF with good DM response, high CM suppression, and sharp DM out-of-band rejection can be realized. Moreover, a thirdand fifth-order balanced BPFs are investigated, designed, and fabricated. Both of three sets of simulated and measured results are found in good agreement with each other. In addition, an extra discussion has been carried out on the proposed structure to further improve the out-of-band rejection performance.
Fig. 1.
Geometry of the RAITPR.
Fig. 2. Equipotential lines of the electric field on RAITPR. (a) TM10 . (b) TM11 . (c) TM20 . (d) TM21 .
II. G EOMETRY AND A NALYSIS OF P ROPOSED RAITPRs In our design, the two types of resonators, namely, the RAITPR and the half-mode RAITPR, are adopted to achieve the desired balanced bandpass response. Hence, the resonant properties of the two resonators should be investigated thoroughly. For this purpose, the complete set of the eigenfunction for the RAITPR is given at first. After that, a new resonator called half-mode RAITPR is constructed based on the RAITPR. A. Right-Angled Isosceles Triangular Patch Resonator Fig. 1 shows the geometry of an RAITPR on a dielectric substrate with a ground plane. The eigenfunction of the TMmn mode involved is given as [24] ψmn (x, y) = cos
nπ y mπ x cos a a nπ x mπ y + (−1)m+n cos cos . a a
Its resonant frequency can be expressed as [25] c 2 f mn = √ m + n2 2a εr
(1)
(2)
where m and n are the two nonnegative integers and c is the light speed in the free space.
Based on (1), the EM field for any specified resonant mode within this RAITPR can be derived according to the wellknown cavity model E zmn (x, y) = Amn × ψmn (x, y) j ∂ Ez Hxmn = ωμ0 ∂y − j ∂ Ez H ymn = ωμ0 ∂ x
(3)
where Amn is an amplitude of the excited field intensity. In order to apply this RAITPR for design, it is desirable to know the field distribution patterns with regarding relevant resonant modes. Fig. 2 depicts the computed equipotential lines of electric field patterns of the first four modes in the RAITPR according to (3). In this context, the first two modes, i.e., TM10 and TM11 modes, are at first analyzed and the properties of their electric fields distributions can be mathematically expressed as follows: E z10 (x, y)| y=x = 0 (4) TM10 : E z10 (x, y) = −E z10 (y, x). ⎧ 11 ⎪ ⎨ ∂ E z (x, y) =0 → (5) TM11 : ∂− n y=x ⎪ ⎩ E 11 (x, y) = E 11 (y, x). z z
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: NEW BALANCED BPF WITH IMPROVED PERFORMANCE ON RAITPR
Fig. 3.
Geometry of the proposed half-mode RAITPR structure.
It should be mentioned that one relative work on the field investigations of the TE101 mode in the SIW cavity has been carried out in [15]. Nevertheless, only the resultant quartermode and eighth-mode SIW cavity resonators were intuitively obtained by bisecting a square SIW cavity according to the simulated results. Obviously, this method is not rigorous and not straightforward. In other words, it is limited in the modes with evidently symmetrical field distributions. Different from the work above, a complete solution of the triangular patch resonator is given here, from which the field distributions of all resonant modes can be easily achieved. Accordingly, we can readily explore the application of the triangular patch resonator for balanced filters with enhanced harmonic suppression performance. B. Half-Mode RAITPR According to (4) and (5) and the field distributions in Fig. 2(a) and (b), it can be found that the TM10 mode can be deemed as an odd mode with respect to its symmetrical line, while the TM11 mode is an even mode. Since the virtual electric or magnetic walls exist along the symmetrical axis for TM10 and TM11 , respectively, half a bisection of this RAITPR can only support the half-mode field distribution at resonance. As a result, we can figure out that a modified resonator can be formed up by bisecting the RAITPR and loading a perfect electric conductor (PEC) along its symmetrical axis. This new resonator is named as the half-mode RAITPR with its geometry as displayed in Fig. 3. This half-mode RAITPR has one electric wall in the right-angle side AB, and two magnetic walls in the other right-angle side BC and hypotenuse AC. Owing to its boundary condition in three sides, this halfmode RAITPR is not able to keep its half-TM11 mode but can keep the half-TM10 mode. This unique resonant property is applied herein to explore a class of balanced BPFs with improved performance as will be extensively discussed in the next sections. III. F ORMULATION AND D ESIGN OF BALANCED F ILTERS A. Principle of Balanced BPF By using the resonant properties of the RAITPR and halfmode RAITPR, a second-order balanced BPF is proposed
3
Fig. 4. Geometry of the second-order balanced BPF on the half-mode RAITPR.
Fig. 5. Half a bisection of the second-order balanced BPF. (a) DM excitation. (b) CM excitation.
and its geometry is depicted in Fig. 4. It consists of one RAITPR in the center and two half-mode RAITPRs in two symmetrical sides. In addition, there are two pairs of balanced feed lines as denoted as port 1 and 1 and port 2 and 2 . Among them, port 1 and 1 are connected with the RAITPR, while port 2 and 2 are linked with two individual half-mode RAITPRs, respectively. To illustrate the operating principle of the proposed balanced BPF, the field distribution on the RAITPR with a pair of balanced feed lines in the hypotenuse is at first investigated. According to the analysis in Section II, the TM10 mode in the RAITPR is an odd mode, and thus, its field superposes in phase with an electric wall along the symmetrical axis under the DM excitation. Under the CM excitation, this field counteracts and is unable to keep all the CM signals. Furthermore, as discussed earlier, the boundary condition in the symmetry plane (T–T ) becomes a perfect electric wall under its DM operation so that the whole balanced BPF in Fig. 4 can be deemed as two identical coupled half-mode RAITPRs as shown in Fig. 5(a). As a result, only the input DM signal can be passed or transmitted through this balanced BPF. On the other hand, a perfect magnetic wall is imposed in the symmetry plane (T–T ) under the CM operation. As indicated in Fig. 5(b), the CM half-bisection consists of two triangular resonators with two distinct boundary conditions, i.e., electric and magnetic walls in their counterpart sides. Therefore, the input CM signal fails
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6. Simulated results of the two circuit configurations. (a) DM halfbisection. (b) CM half-bisection.
to be coupled between the two adjacent half-mode equilateral triangular patch resonators, indicating that all the CM signals are suppressed once again. Furthermore, simulated frequency responses of the half-bisections are given in Fig. 6. As indicated in Fig. 6(a), the input signal can be satisfactorily transmitted across the DM half-bisection, whereas the input signal fails to be transmitted to the output port in the CM half-bisection. Such simulated results are fully consistent with the earlier analysis. It should be noticed that the similar performance of CM suppression has also been reported in [15], whereas the operation principle seems to be explained from different points of view. However, the CM suppression in [15] is only intuitively explained by simulated electric field distribution inside the filter which is not sufficient and generalized compared with the working principle given here. Actually, since the theoretical analysis of the balanced filter is totally originated from theoretical field distributions of the RAITPR, this concept can be directly applied to the utilization of any specified mode for various designs. Now, let us consider how to suppress the higher resonant mode TM11 . Its field distribution on the RAITPR is totally distinct from that of the TM10 mode. Due to its even-mode property, its field counteracts under DM excitation thus realizing DM suppression.
Fig. 7. Proposed second-order balanced BPF. (a) Layout. (b) General coupling scheme of its four-port network. (c) Coupling scheme of its bisection under DM operation. (d) Measured and simulated results.
To sum up the discussion above, a balanced BPF with desired DM transmission and CM rejection can be realized at the resonant frequency of TM10 through the parallel coupling between two half-mode RAITPRs and one RAITPR as shown in Fig. 4. Meanwhile, both the DM and the CM signals are suppressed at the resonant frequency of the TM11 mode, thereby ensuring a good stopband rejection near this higher frequency. B. Second-Order Balanced BPF Considering that the proposed half-mode RAITPR needs to have an electric wall on one side, three metallic via holes are loaded along this side to reasonably achieve this condition. The geometrical structure of the proposed secondorder balanced BPF is shown in Fig. 7(a). This BPF is implemented on the Rogers RT/duroid 6010 substrate with a thickness of 0.635 mm, permittivity of 10.2, and a loss tangent of 0.0023. Herein, this BPF is designed with a center frequency of 2.16 GHz, 0.01-dB ripple level, and fractional bandwidth (FBW) of 7.41%.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: NEW BALANCED BPF WITH IMPROVED PERFORMANCE ON RAITPR
5
Fig. 8. Extracted design curves for coupling structures. (a) External quality factor. (b) Coupling coefficient versus gaps.
According to (2), the lengths of the right-angle sides are calculated as 21.74 mm for resonator 1 and 15.37 mm for resonator 2 and 2 , respectively, in order to attain the center frequency at 2.16 GHz. Then, the coupling gaps between two adjacent resonators and the feeding locations of the feed line are discussed. Fig. 7(b) illustrates the coupling scheme of the proposed filter, where each node represents a resonator, and the solid line indicates the main coupling route. Considering that a virtual PEC appears along with its symmetrical plane under the DM operation, its half-bisection topology can be derived as depicted in Fig. 7(c), and it can be deemed as a two-port network so as to simplify our analysis of its DM response. When the coupling scheme and the resonators are determined, the external quality factors and the coupling coefficients can be extracted to achieve the prescribed design specifications. In our design, the full-wave EM simulator ANSOFT HFSS is adopted to extract these coupling parameters. The external quality factor can be obtained by [26] ω0 (6) Qe = ω±90° where ω±90° indicates the absolute bandwidth between two frequencies with ±90° and ω0 is the resonant frequency.
Fig. 9. Proposed third-order balanced BPF. (a) Layout. (b) General coupling scheme of its four-port network. (c) Coupling scheme of its bisection under DM operation. (d) Measured and simulated results.
The coupling coefficient between resonators i and j can be calculated by using the following equation: Mi j = ±
2 − f2 f p2 p1 2 + f2 f p2 p1
(7)
where f p1 and f p2 are defined as the lower and upper resonant frequencies of these two coupled resonators, respectively. The simulated results are plotted in Fig. 8 and they illustrate the extracted Q 1 and Q 2 with respect to the tapped positions of t1 and t2 , respectively. In addition, the coupling spacing s for the required M1,2 can be determined from Fig. 8(b). As illustrated in Fig. 8(a) and (b), it can be found that the external quality factor decreases as t increases and the coupling coefficient drops down as s increases. It should be mentioned that the low-pass prototype with the Chebyshev response is adopted in all the cases discussed in
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 10. Extracted coupling coefficient between the two distinctive patch resonators versus the gaps.
this paper. The design parameters can be determined by [26] g0 g1 gn gn+1 Q e1 = Q en = FBW FBW FBW Mi,i+1 = √ , for i = 1 to n − 1 (8) gi gi+1 where Q e1 and Q en are the external quality factors of the resonators at the input and output locations, and Mi,i+1 is the coupling coefficients between the adjacent resonators. According to the prescribed specifications, the lumpedelement values of a low-pass prototype filter are calculated as: g0 = 1, g1 = 0.4489, g2 = 0.4078, and g3 = 1.1008. By using (8), the resultant design parameters are determined as Q 1 = 6.06015
Q 2 = 6.06034 M1,2 = 0.17313.
(9)
Based on the results in Fig. 8, we can readily achieve the desired design parameters by selecting t1 = 8.96 mm, t2 = 7.88 mm, and s = 0.18 mm. Next, a fine-tuning process is executed relying on the full-wave simulation to determine the final dimensions of the balanced BPF, and they are provided in Fig. 7(a). Moreover, the designed filters are assembled in a metal box in order to eliminate the radiation loss. The simulated and measured frequency responses of the balanced BPF are plotted in Fig. 7(d), showing good agreement with each other. It is found that the measured minimum insertion loss is 0.35 dB inside the passband. For DM signals, this balanced BPF operates at the center frequency of 2.18 GHz with a 3-dB FBW of 24.8% and return loss better than 28.4 dB. For CM signals, the suppression is enhanced beyond 25.0 dB in the DM passband and 20.0 dB over the whole plotted band. C. Third-Order Balanced BPF Next, a third-order balanced BPF as shown in Fig. 9(a) will be developed. This balanced BPF consists four resonators, two of them (resonators 1 and 3) are the conventional RAITPRs and the two others (resonators 2 and 2 ) are the RAITPRs with a few metallic via holes placed along their hypotenuses. In fact, this BPF is formed by combining two second-order balanced BPFs. Each of resonators 2 and 2 is constructed
Fig. 11. Proposed third-order balanced BPF with a slot. (a) Layout. (b) General coupling scheme of its four-port network. (c) Measured and simulated results.
based on the two back-to-back half-mode RAITPRs along their right-angle sides. Here, this third-order balanced BPF supposes to be designed with a center frequency of 2.22 GHz and a 0.01-dB FBWof 8.58%. Similar to the earlier procedure, the element values of a lowpass prototype filter can be found as: g0 = 1, g1 = 0.6292, g2 = 0.9703, g3 = 0.6292, and g4 = 1. The required external quality factors and coupling coefficients can then be obtained as follows: Q 1 = Q 3 = 7.33515 M1,2 = M2,3 = 0.10978.
(10)
The values of Q 1 and Q 3 become the same as each other and they can be found from the red line in Fig. 8(a). Meanwhile, the coupling spacing s for M1,2 and M2,3 can be
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: NEW BALANCED BPF WITH IMPROVED PERFORMANCE ON RAITPR
7
TABLE I C OMPARISON W ITH O THER BALANCED BPF S
obtained from Fig. 10 as s = 0.17 mm when t = 8.02 mm. Next, the full-wave simulation is executed over its layout to fine-tune the whole parameters and determine the final sizes as marked in Fig. 9(a). Fig. 9(d) indicates the simulated and measured results, and it shows that the measured DM passband is centered at 2.22 GHz, and the 3-dB FBW is equal to 18.0%. The return loss in the passband is better than 30.54 dB, the minimum insertion loss is about 0.45 dB, and the CM suppression exceeds 46.60 dB in the DM passband. Moreover, the frequency selectivity for DM signals can be further improved by introducing an extra transmission zero outside the DM passband. In this context, the scheme of cross coupling between resonators 1 and 3 is applied in our design. As shown in Fig. 11(a), a slot is etched on the ground plane to provide a magnetic coupling between resonators 1 and 3. Fig. 11(b) indicates the corresponding coupling scheme. From both the theoretical and simulated results in Fig. 11(c), a transmission zero appears on the high side of the passband as expected. In addition, the relationship between the sizes of the etched slot and the location of the transmission zero is studied. As depicted in Fig. 12, the location of this transmission zero tends to decrease as the slot length increases. As the slot width is enlarged, this transmission zero gradually moves downward. According to this exhibited regulation, we can easily adjust the frequency location of transmission zero in order to achieve the improved out-of-band rejection. Fig. 11(a) shows the final geometrical parameters of the designed third-order balanced BPF. Fig. 11(c) depicts its simulated and measured results, and they are found in good agreement with each other. For the DM signals, this balanced BPF operates at the center frequency of 2.21 GHz with a 3-dB FBW of 13.6%. Inside the desired passband, the measured minimum insertion loss is 0.45 dB and the return loss is better than 30 dB. Moreover, one transmission zero really appears at 2.68 GHz, and thus ensuring good frequency selectivity in the upper stopband. On the other hand, the CM signals can be effectively suppressed with the rejection level greater than 49.9 dB over the DM passband as our expectation.
Fig. 12.
Relationship between the etched-slot sizes and TZ frequency.
D. Fifth-Order Balanced BPF Finally, a fifth-order balanced BPF is developed to further demonstrate the enhanced filtering selectivity as always desired. Fig. 13(a) and (b) depicts its layout and coupling scheme. Similar to the responses of the two above-developed balanced BPFs, this fifth-order balanced BPF is designed with a center frequency of 2.23 GHz, 0.1-dB ripple level, and FBW of 14.8%. As the element values of its corresponding low-pass prototype are found as g0 = 1, g1 = 1.1468, g2 = 1.3712, g3 = 1.9750, g4 = 1.3712, g5 = 1.1468, and g6 = 1, the required external quality factors and coupling coefficients can be determined as Q 1 = Q 5 = 7.73221 M1,2 = M4,5 = 0.11827 M2,3 = M3,4 = 0.090126.
(11)
Based on the specified values in (11) and the design curves in Figs. 8(a), 10, and 14, the three key parameters, t, s1 , and s2 , can be found as t = 7.62 mm, s1 = 0.14 mm, and s2 = 0.16 mm, respectively. Ultimately, the full-wave simulation is executed to fine-tune these parameters as done before.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 14. Extracted coupling coefficient between two distinctive patch resonators versus the gaps.
Fig. 13. Proposed a fifth-order balanced BPF. (a) Layout. (b) General coupling scheme of its four-port network. (c) Coupling scheme of its bisection under DM operation. (d) Measured and simulated results. Fig. 15. Second-order balanced BPF with TM11 suppression. (a) Layout. (b) Simulated results.
Fig. 13(a) shows the final layout of the proposed fifth-order balanced BPF. The simulated and measured responses are depicted in Fig. 13(d), illustrating good agreement between them. It is apparent that this fifth-order balanced BPF has
achieved better selectivity and higher rejection skirt than its second- and third-order counterparts in Figs. 7, 9, and 11. The measured minimum DM insertion loss is 0.55 dB inside
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: NEW BALANCED BPF WITH IMPROVED PERFORMANCE ON RAITPR
9
shown in Fig. 2(b), it is found that the electric field is exactly equal to zero along the marked red line. As a result, the TM11 mode cannot be excited at all when the feeding position is exactly arranged along the electric wall, i.e., the marked red line. Therefore, the coaxial feeding scheme is adopted herein by setting the feeding position along the red line toward the intrinsic suppression of the TM11 mode. Based on this concept, the second-order balanced BPF with TM11 suppression has been proposed, and its layout is depicted in Fig. 15(a). From the simulated magnitude responses in Fig. 15(b), it is verified that the CM rejection level at the TM11 resonant frequency has been further improved. In addition, the CM suppression at the TM20 resonant frequency is −17.8 dB, and the input DM signal can be well transmitted at the TM21 resonant frequency. Subsequently, the field distributions of TM20 and TM21 in Fig. 2(c) and (d) are further explored to improve the outof-band rejection. The electric wall of each mode has been marked in red in Fig. 2(c) and (d). Considering the field distributions of both TM11 and TM20 , it can be concluded that both of these two modes have zero field intensity at the middle of the waists. For the TM21 mode, its electric wall does not overlap with those of the TM11 and TM20 modes, so as to restrain TM11 /TM20 and TM21 simultaneously by locating the two pairs of ports at their specific positions as indicated in Fig. 16(a). As depicted in Fig. 16(a), port 1 and 1 are located at the middle of the two waists of the RAITPR, while port 2 and 2 are in the electric wall of TM21 . With this new feeding scheme, the TM11 and TM20 modes will not be excited in the RAITPR, thus ensuring good CM suppression, while the TM21 mode will be rejected in the halfmode RAITPRs. From the simulated results of the improved design scheme in Fig. 16(b), it is confirmed that the TM11 , TM20 , and TM21 modes are all rejected and good out-of-band performance has been attained successfully. Fig. 16. Second-order balanced BPF with TM11 , TM20 , and TM21 suppression. (a) Layout. (b) Simulated results.
the passband. The measured DM passband operates at the center frequency of 2.22 GHz with a 3-dB FBW of 16.6% and its return loss is better than 18.70 dB. Meanwhile, the CM signals have achieved the rejection level higher than 57.7 dB in the concerned DM passband. Table I summarizes the comparison of various performance parameters between the proposed balanced BPFs and other reported ones. It can be basically concluded from Table I that the proposed new balanced BPFs exhibit a compact size and good balanced filtering performance. IV. D ISCUSSION To investigate the feasibility of out-of-band rejection improvement for the proposed balanced BPF, additional discussions on the proposed structure will be further carried out in this section. As illustrated earlier, with the adoption of the half-mode RAITPR, the TM11 mode has been suppressed up to −17.0 dB. Yet, it might be not good enough, so extra measures will be taken herein to enhance the out-of-band rejection level. From the field distribution of the TM11 mode as
V. C ONCLUSION In this paper, a design method has been proposed for the exploration of a class of balanced BPFs on the RAITPR. Its operating principle has been explained to achieve the desired DM passband, CM suppression, widened upper stopband, and enhanced out-of-band rejection skirt. After a second-order balanced BPF on RAITPR is initially designed to confirm the proposed design concept, a few higher order balanced BPFs on RAITPR have been further designed and implemented. For all the designed balanced BPFs with different orders, the simulated results are found in good agreement with their measured ones. Moreover, discussion on the feeding scheme of the modified structure has been carried out and an improved outof-band performance has been satisfactorily achieved. Overall, the presented balanced BPFs on RAITPR not only exhibit good DM bandpass performance but also enhance the CM suppression within the whole DM passband and sharpen the DM out-of-band rejection skirts. R EFERENCES [1] W. R. Eisenstadt, B. Stengel, and B. M. Thompson, Microwave Differential Circuit Design Using Mixed-Mode S-Parameters. Boston, MA, USA: Artech House, 2006.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
[2] C. H. Wu, C. H. Wang, and C. H. Chen, “Stopband-extended balanced bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 507–509, Jul. 2007. [3] L. Yang, W.-W. Choi, K.-W. Tam, and L. Zhu, “Balanced dual-band bandpass filter with multiple transmission zeros using doubly shortended resonator coupled line,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 7, pp. 2225–2232, Jul. 2015. [4] W. Feng, W. Che, and Q. Xue, “Balanced filters with wideband common mode suppression using dual-mode ring resonators,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 6, pp. 1499–1507, Jun. 2015. [5] Y.-H. Cho and S.-W. Yun, “Design of balanced dual-band bandpass filters using asymmetrical coupled lines,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2814–2820, Aug. 2013. [6] T. Yan, D. Lu, J. Wang, and X.-H. Tang, “High-selectivity balanced bandpass filter with mixed electric and magnetic coupling,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 6, pp. 398–400, Jun. 2016. [7] S. Zhang, Z.-H. Chen, and Q.-X. Chu, “Compact tunable balanced bandpass filter with novel multi-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 1, pp. 43–45, Jan. 2017. [8] A. Fernández-Prieto, A. Lujambio, J. Martel, F. Medina, F. Mesa, and R. R. Boix, “Simple and compact balanced bandpass filters based on magnetically coupled resonators,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 6, pp. 1843–1853, Jun. 2015. [9] J. Shi et al., “A compact differential filtering quasi-Yagi antenna with high frequency selectivity and low cross-polarization levels,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 1573–1576, 2015. [10] A. Fernández-Prieto, J. Martel, F. Medina, F. Mesa, and R. R. Boix, “Compact balanced FSIR bandpass filter modified for enhancing common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 3, pp. 154–156, Mar. 2015. [11] Y.-J. Lu, S.-Y. Chen, and P. Hsu, “A differential-mode wideband bandpass filter with enhanced common-mode suppression using slotline resonator,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 10, pp. 503–505, Oct. 2012. [12] P. Chu et al., “Balanced substrate integrated waveguide filter,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 824–831, Apr. 2014. [13] H. Chu and J.-X. Chen, “Dual-band substrate integrated waveguide balun bandpass filter with high selectivity,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 379–381, Jun. 2014. [14] X. Xu, J. Wang, and L. Zhu, “A new approach to design differentialmode bandpass filters on SIW structure,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 635–637, Dec. 2013. [15] P. Li, H. Chu, and R.-S. Chen, “Design of compact bandpass filters using quarter-mode and eighth-mode SIW cavities,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 6, pp. 956–963, Jun. 2017. [16] A. M. Abbosh, “Ultrawideband balanced bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 9, pp. 480–482, Sep. 2011. [17] N. Jankovi´c and V. Crnojevi´c-Bengin, “Balanced bandpass filter based on square patch resonators,” in Proc. 12th Int. Conf. Telecommun. Modern Satell., Cable Broadcast. Services (TELSIKS), Niš, Serbia, Oct. 2015, pp. 189–192. [18] S. Y. Zheng, R. T. Wu, and Z. W. Liu, “A balanced bandpass filter with two transmission zeros based on square patch resonators,” in Proc. IEEE Int. Conf. Ubiquitous Wireless Broadband (ICUWB), Oct. 2016, pp. 1–3. [19] Q. Liu, J. Wang, and Y. He, “Compact balanced bandpass filter using isosceles right triangular patch resonator,” Electron. Lett., vol. 53, no. 4, pp. 253–254, Feb. 2017. [20] J.-S. Hong and S. Li, “Theory and experiment of dual-mode microstrip triangular patch resonators and filters,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 4, pp. 1237–1243, Apr. 2004. [21] J.-S. Hong and M. J. Lancaster, “Microstrip triangular patch resonator filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, USA, Jun. 2000, pp. 331–334. [22] H. W. Liu, Z. Q. Cheng, and L. L. Sun, “Dual-mode triangularpatch bandpass filter using spur-lines,” Electron. Lett., vol. 42, no. 13, pp. 762–763, Jun. 2006. [23] R. Garg, P. Bhartia, I. Bahl, and A. Ittipiboon, Microstrip Antenna Design Handbook. Boston, MA, USA: Artech House. 2001. [24] R. Chadha and K. C. Gupta, “Green’s functions for triangular segments in planar microwave circuits,” IEEE Trans. Microw. Theory Techn., vol. MTT-28, no. 10, pp. 1139–1143, Oct. 1980. [25] R. W. Dearnley and A. R. F. Barel, “A comparison of models to determine the resonant frequencies of a rectangular microstrip antenna,” IEEE Trans. Antennas Propag., vol. 37, no. 1, pp. 114–118, Jan. 1998. [26] J.-S. Hong, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Qianwen Liu received the B.S. degree in information countermeasure technology from the Nanjing University of Science and Technology, Nanjing, China, in 2014, where she is currently pursuing the Ph.D. degree in electronic science and technology. Her current research interests include wideband antennas and balanced circuits.
Jianpeng Wang received the Ph.D. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2007. From 2005 to 2006, he was a Research Assistant with the Institute for Infocomm Research, Singapore. From 2010 to 2011, he was a Research Fellow with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. In 2013, he joined the School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, U.K., as a Visiting Scholar. From 2014 to 2016, he was a Research Fellow with the Faculty of Science and Technology, University of Macau, Macau, China. He is currently an Associate Professor with the School of Electronic and Optical Engineering, Nanjing University of Science and Technology, Nanjing, China. He has authored or co-authored over 90 papers in international journals and conference proceedings. His current research interests include microwave circuits, antennas, and LTCC-based millimeter-wave circuits. Dr. Wang has been an Associate Editor of IET Electronics Letters since 2015.
Lei Zhu (S’91–M’93–SM’00–F’12) received the B.Eng. and M.Eng. degrees in radio engineering from Southeast University, Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita–Kotobuki Electronics Industries Ltd., Tokyo. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montréal, Montreal, QC, Canada. From 2000 to 2013, he was an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. In 2013, he joined the Faculty of Science and Technology, University of Macau, Macau, China, as a Full Professor, where he has been a Distinguished Professor since 2016. From 2014 to 2017, he was the Head of the Department of Electrical and Computer Engineering, University of Macau. He has authored or co-authored over 420 papers in international journals and conference proceedings. His papers have been cited more than 5250 times with an H-index of 39. His current research interests include microwave circuits, guided-wave periodic structures, planar antennas, and computational electromagnetic techniques. Dr. Zhu served as a member for the IEEE MTT-S Fellow Evaluation Committee from 2013 to 2015 and the IEEE AP-S Fellows Committee from 2015 to 2017. He was a recipient of the 1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention from Matsushita– Kotobuki Electronics Industries Ltd., and the 1993 First-Order Achievement Award in Science and Technology from the National Education Committee, China. He served as an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2010 to 2013 and IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS from 2006 to 2012. He served as the General Chair for the 2008 IEEE MTT-S International Microwave Workshop Series on the Art of Miniaturizing RF and Microwave Passive Components, Chengdu, China, and the Technical Program Committee Co-Chair of the 2009 Asia–Pacific Microwave Conference, Singapore.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: NEW BALANCED BPF WITH IMPROVED PERFORMANCE ON RAITPR
Gang Zhang received the Ph.D. degree in electronics and information engineering from the Nanjing University of Science and Technology, Nanjing, China, in 2017. From 2013 to 2014, he was an Exchanging Student with the School of Information Technology and Electrical Engineering, University of Queensland, Brisbane, QLD, Australia. He is currently with the School of Electrical and Automation Engineering, Nanjing Normal University, Nanjing. His current research interests include the design of miniaturized high-performance microwave/millimeter-wave multifunction integrated passive device and numerical synthesis methods in electromagnetics.
Feng Huang received the B.S. degree in electronic and information engineering from Nantong University, Nantong, China, in 2013. He is currently pursuing the Ph.D. degree in electromagnetic field and microwave technology at the Nanjing University of Science and Technology, Nanjing, China. From 2018 to 2019, he was a Visiting Scholar with the School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, U.K. His current research interests include microwave components, balanced circuit design, and integrated circuit design.
11
Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 1997. He is currently a Professor with the School of Electronic Engineering and Optoelectronic Technology, Nanjing University of Science and Technology, Nanjing, where he is currently an Associate Director with the Ministerial Key Laboratory of JGMT. He has authored or co-authored over 240 journal and conference papers. He holds 14 patents. His current research interests include microwave- and millimeter-wave theories and technologies, microwave-and millimeter-wave detection, and multimode compound detection. Dr. Wu was a six-time recipient of the Ministerial and Provincial-Level Science and Technology Award.
4814
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Systematic Evaluation of Spikes Due to Interference Between Cascaded Filters Antonio Morini , Senior Member, IEEE, Giuseppe Venanzoni , Petronilo Martin Iglesias, Christoph Ernst, Nikolas Sidiropoulos, Andrea Di Donato, and Marco Farina , Senior Member, IEEE Abstract— When two filters are cascaded together, unwanted spikes appear in the rejection region. These spikes can have a strong impact on the rejection performance of the resulting filter. Therefore, it is desired to suppress or move them far away from the operational band, including part of the rejection band as well. This paper addresses a systematic evaluation of such phenomenon and proposes a simple yet effective method, called spike envelope curve, to have, in a single run and for any frequency, the worst possible case, without the need of repeating onerous analyses. It is also shown that a judicious choice of the length and losses of the line separating the filters can be exploited to mitigate considerably such a negative effect. The method is assessed by full-wave simulations and measurements. Index Terms— Cascade, filters, interference, spikes.
I. I NTRODUCTION
I
N MANY applications, resonant components like filters must be cascaded to accomplish given specifications. A relevant case occurs when a bandpass filter and a low-pass (LP) filter are cascaded together in order to suppress undesired harmonics. Recently, this architecture has been receiving new attention because it seems very suitable for tunable filter applications [1]–[17]. In fact, the design of passband filters using cascaded LP and high-pass (HP) filters is an old idea that dates back to the first filters. In [1], the use of a combination of two passband filters was suggested to obtain a filter reconfigurable with respect to both the bandwidth and center frequency. In [2], a waveguide architecture LP + HP was proposed in which the HP filter was just a waveguide section. Many other implementations based on different technologies have been proposed since then (see [3]–[8] to mention a few). Unfortunately, such an operation can cause some troubles, when dealing with distributed circuits, because usually, it is
Manuscript received February 25, 2018; revised June 19, 2018; accepted July 17, 2018. Date of publication September 10, 2018; date of current version November 5, 2018. This work was supported by the European Space Agency through the framework of the contract TRP entitled “Bandpass Filter With In-orbit Reconfigurable Bandwidth.” (Corresponding author: Antonio Morini.) A. Morini, A. Di Donato, and M. Farina are with the Dipartimento di Ingegneria Informatica, Università Politecnica delle Marche, 60131 Ancona, Italy (e-mail:
[email protected];
[email protected];
[email protected]). G. Venanzoni is with the Dipartimento di Ingegneria, Università di Perugia, 06125 Perugia, Italy (e-mail:
[email protected]). P. M. Iglesias and C. Ernst are with ESTEC, PO NL-2200 AG Noordwijk, The Netherlands (e-mail:
[email protected];
[email protected]). N. Sidiropoulos is with SES Networks, L-6815 Betzdorf, Luxembourg (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2865568
Fig. 1. Cascade of two filters with an interposed isolator to prevent unwanted spikes.
necessary to insert a line between the filters. This line is a resonator by itself and its resonances can significantly affect the response of the resulting filter by generating some spikes. A thorough description of the spikes generated by the constructive interference caused by the interaction between two cascaded linear two ports, with a particular reference to filters, is presented in [10]. Sorrentino and Bianchi [10] call such phenomenon as mismatch resonance and discuss the significant example of the cascade of bandpass and LP filters. Although the problem is investigated carefully, no remedy is proposed for its solution. On the other hand, many solutions have been proposed to overcome the issue. Most of them are based on the use of the following. 1) Isolators or amplifiers acting as isolators between filters (Fig. 1), in such a way that the two RF stages are isolated and can be tuned separately. 2) An ad hoc design in a way that the cascade is treated as a whole and globally optimized, but it is not suitable for tunable filters [18]. 3) Crunched structure, in which the last cavity of one filter is also the first cavity of the other [13], again it is not very suitable for tunable filters. 4) Directional filters that are matched both in passband and stopband, regardless of the load [1], but this solution is bulky as it uses two filters instead of one. 5) Reasoned choice of the waveguide section separating the filters [15] in such a way that mismatch resonances appear outside the region of interest [2]. Of course, the last one is the less expensive solution, but it becomes very challenging when the filters are to be reconfigured, since mismatch resonances must be predicted for any possible passband position. Usually, this is done through plenty of simulations, one for any set of positions and requires an onerous effort. The purpose of this paper is twofold. On the one hand, it provides a method for the systematic evaluation of this effect through one single curve, called spike envelope curve (SEC), which represents the maximum level of the spikes achievable at the frequency f . On the other hand, a criterion is suggested
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
MORINI et al.: SYSTEMATIC EVALUATION OF SPIKES
4815
Fig. 2. Black-box scheme of two cascaded two-ports S and , separated by a transmission line section of length l.
to move such unwanted spikes far outside the band of interest and suppress them sufficiently to guarantee the required performance. II. P ROBLEM F ORMULATION Let us consider the cascade of two lossless reciprocal two ports, identified by their scattering matrices S and , separated by a line of length l (Fig. 2) and unitary characteristic impedance. The assumption of unit characteristic impedance is done without loss of generality, because the nonunit case can be easily derived from the unit one, by replacing the Z 0 line with a unit line √ of the same√ length, inserted between two transformers Z 0 : 1 and 1 : Z 0 . Next, the two transformers can be absorbed by the matrices S and , by the straightforward operation, thus reducing to the formulation of Fig. 2. The scattering matrix S of the resulting two ports is given by the following formulas:
S11 − e−2 jβl σ11 S 1 − e−2 jβl σ11 S22 σ21 e−2 jβl S21 = 1 − e−2 jβl σ11 S22
S11 =
S21
(1) (2)
where Si j is the elements of the matrix S, σi j is the elements of the matrix , and S is the determinant of S. Maximum transmission occurs when the denominator of (2) is minimum. This takes place when l( f ) =
σ11 ( f ) + S22 ( f ) + 2kπ . 2β( f )
(3)
Formula (3) is indeed a resonance condition that states the length for which subsequent wave-fronts, bouncing back and forth between S and , sum in phase at the input of , thus producing a transmission maximum. When |σ11 | and |S22 | are nearly 1, as occurs for filters in the out band, such maximum becomes nearly 1 and assumes the aspect of a spike, which is a sudden peak. The percentage 3-dB bandwidth of the spike closest to the passband, computed under the assumption that the frequency dependence of |S22 σ11 | can be neglected, is given as 1 1 + |S22 σ11 |2 BW ≈ cos−1 2 − . π 2|S22 σ11 | It is now convenient to define the SEC |σ21 ( f )S21 ( f )| . SEC( f ) = |S21 ( f )|MAX = 1 − |S22 ( f )σ11 ( f )|
(4)
This curve represents the envelope of all possible spikes generated by the multiple destructive reflections (or constructive transmissions) occurring between S and . For any frequency f , the curve value is just that calculated for an interposed line of length l( f ), as given by (3). Note that the above calculation is made under the assumption that all terms, filters, and lines are lossless. As a matter of fact, we are interested in the analysis of the worst case, occurring when the transmission is maximum. On the other hand, by changing the distance between the filters, the spike changes its position, while its amplitude is given by (4). In the lossless situation, at frequency f, the transmission is maximum and equal to 0 dB when the reflections of the two filters have (almost) unit magnitude and the length of the line placed in between is chosen according to (3). As already mentioned, in order to circumvent these issues, the simplest way is to appropriately choose the length of the line separating the two filters, in such a way that (3) cannot be satisfied in the region of interest. When dealing with reconfigurable filters, this requires to consider all possible positions of the two filters during reconfiguration. Some examples can help to make the concept clearer. Let us consider quasi-LP and quasi-HP Ku-band filter prototypes, having the same bandwidth (82 MHz) and midband frequency (11 GHz), cascaded with the purpose that the resulting filter has a passband given by the intersection of the ones of the constituent parts. The term quasi-LP (HP) filter indicates that the attenuation skirt response above (below) passband is steeper than below (above), due to the presence of more transmission zeros above (below) than the below (above) passband. The ideal cascade, computed by interposing an isolator in between, is shown in Fig. 3 (gray dashed curve). This ideal cascade satisfies the out of band rejection mask (red line). In Fig. 3, the SEC (black dashed curve) is also plotted that represents, for every frequency, the maximum achievable transmission due to the constructive interaction between the filters. It has to be pointed out that, for each frequency, the length of the interposed line l( f ) is set according to (3). Actually, for a given line length, as occurring in practice, the interaction would produce only discrete spikes, which depend on the length of the interconnection. These spikes touch the SEC curve at those frequencies at which the transmission constructive interference takes place. This means that, in the regions where the SEC does not comply with the rejection mask, the occurrence of spikes compromises the required minimum attenuation level. In particular, if we look at Fig. 3, the mask is satisfied by the SEC only in the range 10.9463–11.0543 GHz. Now, it can be useful to plot the function l( f ), which represents, for any frequency, the length of the line for which the transmission constructive interference is maximum. The curve is plotted in the range 20–40 mm, considering a Teflon-filled coaxial cable (Fig. 4). The curve must be considered only in the out of band region and only where the SEC does not satisfy the rejection mask. Inside (in this case, in the shadowed range 10.9463–11.0543 GHz in Fig. 4) the constructive transmission interference does not affect the response. In the passband region, the main issue is caused by the constructive reflection
4816
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 3. Transmission of the two cascaded filter prototypes forming the tunable filters. Green dotted curve: quasi-LP filter, Gray dashed curve: quasi-HP filter, dashed dotted curve: ideal cascade without interference, computed as the filters were separated by an ideal isolator, and red continuous line: rejection mask. Black dashed curve: SEC, obtained, at each frequency, by setting the length of the lossless line separating the filters to maximize the constructive transmission interference.
Fig. 5. Transmission of the two cascaded filters computed for three different lossless coax lengths. Blue dashed curve: SEC, which does not correspond to a specific length because it is computed considering, for each frequency spot, a lossless line, whose length maximizes the constructive transmission interference.
Fig. 6. SEC calculated under the assumption that the connecting line is lossy (0.2 dB). Fig. 4. Length of the interconnecting line (coaxial cable filled with Teflon, in the example) that maximizes the constructive interference between the two filters for each frequency. In the shadowed area, the SEC satisfies the rejection mask.
interference that affects the return loss. Indeed, when dealing with reconfigurable architectures, the minimum return loss of the constituent filters, RL, should be 6 dB higher than the goal, in such a way that under every condition, the final return loss complies with specs. As a matter of fact, if we assume that the two filters have nearly the same in-band minimum return loss, RL = −20 log |S11 |max , then, from (1), it is apparent that the minimum return loss of the cascaded filters is 1 1 = 20 log 20 log = RL − 6 dB. 2|S11 |max |S11 |max By inspection of Fig. 4, it is apparent that the length of the line separating the two filters for which spikes cannot exist, in the frequency interval 10.85–11.15 GHz, ranges between 28 and 30 mm, and the value 29 mm will be therefore chosen in the next examples. Fig. 5 shows the response of the two cascaded filters for three different lengths (23.2, 29, and 33.3 mm) of the interconnecting line (a coaxial line filled by Teflon). It is observed that the spikes occurring for specific lengths touch the SEC at certain frequencies. It is also noted that no spikes occur in the out-band interval when the length of the coax
is 29 mm. Of course, this means that the corresponding spikes can appear somewhere outside the shown range. Instead of using a lossless line between filter, a remarkable attenuation of such unwanted spikes can be obtained by using a slightly lossy line. In fact, taking into account losses, (4) becomes
|S21 ( f )|MAX =
|σ21 ( f )S21 ( f )|e−αl 1 − |S22 ( f )σ11 ( f )|e−2αl
(5)
where αl = − ln(10−LdB/20 ), L dB being the attenuation of the line, or any other suitable device, placed in between the two cascaded filters. The frequency range is assumed to be so narrow that the variation of the attenuation can be neglected. Fig. 6 shows the SEC calculated by assuming that the insertion loss of the interposed coax line is 0.2 dB. This gives an immediate idea of the benefit of using a slightly lossy line in between the two filters, in which the SEC curve is higher than the rejection mask only in the frequency intervals pointed by the arrows. Outside, all possible spikes are attenuated to negligible values. This attenuation, much higher than that of the individual line, is caused by the resonant mechanism occurring in the interconnecting line and can be advantageously exploited to suppress the spikes. Therefore, a prudent choice of the length and losses of the line connecting the cascaded filters, selected according to
MORINI et al.: SYSTEMATIC EVALUATION OF SPIKES
Fig. 7. Transmission of the two cascaded filters computed with a lossy coaxial separating line of different lengths (millimeter). Line loss is 0.2 dB.
4817
Fig. 9. Transmission of the two cascaded filters forming the tunable filter, when the resonator midband frequency is shifted down by 50 MHz, computed for different lengths of the separating lossy lines (losses = 0.2 dB).
Fig. 8. Transmission of the two cascaded filters forming the tunable filter, when the resonator midband frequency is shifted up by 50 MHz, computed for different lengths of the separating lossy lines (losses = 0.2 dB).
the above considerations, allows to suppress possible spikes occurring outside the passband. Of course, this slightly increases the insertion losses in the passband of the resulting filter. Fig. 7 shows the transmissions of the cascaded filters of Fig. 5, now separated by lossy lines (0.2 dB) of different lengths. For brevity, the name SEC is also used for the function (5), in which the line is lossy. As expected, with 29 mm, there are no spikes at all in the region considered and possible spikes occurring outside are within the specs. In addition, the level of the spikes corresponding to the lengths 33.3 and 23.2 mm is at least 9-dB lower than in the lossless case. Finally, when the resonance frequency of the resonators of the two filters forming the tunable device is shifted up/down by 50 MHz, the response becomes the one shown in Figs. 8 and 9, respectively. As can be observed, with the above choice of 29 mm, spikes resulting from the constructive interference between the two filters do not fall in the region of interest. III. E XPERIMENTS The present analysis has been experimentally assessed, by considering two couples of filters already available at our laboratory, nominally equal, operating in L- and X-bands. The analysis includes different situations where the filters are
Fig. 10. Experimental response of the two L-band filters, labelled as (a) and (b), used to assess the proposed method.
separated by coaxial cables of different lengths and when an additional attenuator is included. The first example concerns two coaxial L-band filters, whose responses are shown in Fig. 10, which are cascaded together through the interposition of a coaxial section 1 cm long and 0.1 dB lossy. Fig. 11 shows both the responses of the cascade and the SEC. As can be seen, there are two spikes due to mismatch resonance that touches the SEC. The second example concerns two H-plane iris Chebyshev four-pole filters, operating in X-band and interfaced through
4818
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 11. Experimental response of the cascade of two nominally identical L-band filters, whose individual responses are shown in Fig. 10, separated by a coaxial section 1 cm long, with 0.1-dB loss. Black curve: SEC, built starting from the measurements of the two filters for an attenuation of the interposed line of 0.1 dB, also measured. As can be seen, the two peaks, actually occurring for a certain distance, touch the SEC in two points.
Fig. 12. Photograph of the two X-band filters connected through a short female–female 3.5-mm transition.
SMA connectors (Fig. 12). Although the filters are connected by just one 3.5-mm male–male adapter, used to connect the two SMA female connectors of the filters, the actual “line” separating the two filters also includes the two waveguide sections between the first iris and the waveguide–SMA transition and two SMA female connectors. Therefore, the total effective length is in the order of a few centimeters. In this arrangement, since the insertion loss of the adapter is small and the separating line is short, the measurement reveals just two spikes, although quite dangerous mismatch resonances (Fig. 13), being one of these very close to the passband. Fig. 14 shows the insertion loss of the same two cascaded X-band filters, separated by a coaxial cable HP 08153–60009, 40 cm long with 0.8-dB attenuation. The red dashed curve represents the SEC, considering both filters and coaxial losses. The green dashed dotted curve represents the SEC in the absence of coaxial losses. In any case, it is evident that the insertion of the lossy cable produces an additional attenuation with respect to the lossless interconnection ranging from nearly 0.8, close to the passband edges, up to 85 dB at the left edge. The latter takes place where the attenuation of each filter is large and many bounces—back and forth—occur within the interconnection. The measured insertion loss is the black curve. As expected, there are some spikes (four in this case) touching the SEC that confirms to be a correct and useful tool to visualize the effect of mismatch losses.
Fig. 13. Experimental response of the cascade of the two nominally identical X-band filters, connected as shown in Fig. 12.
Fig. 14. Experimental response of the cascade of two X-band filters of Fig. 12 when separated by a coaxial section 40 cm long. Red dashed curve: SEC for a lossy (0.8 dB) cable. Green dashed dotted curve: SEC for a lossless interconnection. SEC is computed starting from the experimental responses of the single filters.
IV. C ONCLUSION This paper addresses a systematic and efficient evaluation of unwanted spikes occurring when two filters are cascaded. A method is proposed to calculate the worst case, for any frequency, without requiring the repetition of onerous analyses. This allows, at a glance, to make a judicious choice of the line length and the losses in order to make negligible such negative effects. The method is assessed by circuit full-wave simulations and measurements. ACKNOWLEDGMENT The authors would like to thank A. Derderian from ESA-ESTEC, Noordwijk, The Netherlands, for the provision of the X-band filters. The authors would also like to thank G. Romano from Space Engineering S.p.A., Rome, Italy, and M. Battaglioni from the Università Politecnica delle Marche, Ancona, Italy, for the measurements of the L-band filters. R EFERENCES [1] M. L. Doelz, “Variable band width intermediate frequency system,” U.S. Patent 2 747 084 A, May 22, 1956. [2] G. I. Tsuda, “Microwave narrow band-pass filter,” U.S. Patent 3 587 008 A, Jun. 22, 1971. [3] W. Hauth, R. Keller, and U. Rosenberg, “The corrugated-waveguide sand-pass filter—A new type of waveguide filter,” in Proc. Eur. Microw. Conf., Stockholm, Sweden, Sep. 1988, pp. 945–949.
MORINI et al.: SYSTEMATIC EVALUATION OF SPIKES
[4] P. J. Tatomir, K. N. Loi, and L. W. Hendrick, “Microwave filter having cascaded subfilters with preset electrical responses,” U.S. Patent 6 046 658 A, Apr. 4, 2000. [5] B. Yassini, M. Yu, and B. Keats, “A K a -band fully tunable cavity filter,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4002–4012, Dec. 2012. [6] M. Sanchez-Renedo, R. Gomez-Garcia, J. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 1, pp. 191–199, Jan. 2005. [7] R. V. Snyder, “A wide-band tunable filter technique based on doublediplexing and low-Q tuning elements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 1759–1762. [8] R. Gomez-Garcia and J. I. Alonso, “Systematic method for the exact synthesis of ultra-wideband filtering responses using high-pass and lowpass sections,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3751–3764, Oct. 2006. [9] M. E. Van Valkenburg, Network Analysis. Upper Saddle River, NJ, USA: Prentice-Hall, 1974. [10] R. Sorrentino, G. Bianchi, and K. Chang, Microwave and RF Engineering. Hoboken, NJ, USA: Wiley, 2010, pp. 289–301. [11] A. Abdelmonem, “RF receiver having cascaded filters and an intermediate amplifier stage,” U.S. Patent 6 711 394 B2, Mar. 23, 2004. [12] M. Yuceer and I. C. Hunter, “A novel design method for highly selective and tunable microwave bandpass filter,” in Proc. Eur. Microw. Conf., Oct. 2013, pp. 1219–1222. [13] M. Yuceer, “Reconfigurable narrowband microwave band-pass filter,” Int. J. Circuit Theory Appl., vol. 43, no. 1, pp. 125–132, 2015. [14] M. D. Brill, “Wave filter,” U.S. Patent 2 943 280 A, May 31, 1957. [15] M. Guglielmi and G. Connor, “Chained function filters,” IEEE Microw. Guided Wave Lett., vol. 7, no. 12, pp. 390–392, Dec. 1997. [16] G. Macchiarella, “Accurate synthesis of inline prototype filters using cascaded triplet and quadruplet sections,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 7, pp. 1779–1783, Jul. 2002. [17] S. Tamiazzo and G. Macchiarella, “An analytical technique for the synthesis of cascaded N-tuplets cross-coupled resonators microwave filters using matrix rotations,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1693–1698, May 2005. [18] C. Tomassoni et al., “Compact broadband waveguide filter with wide spurious-free range based on mixed TM and combline resonators,” in Proc. 47th Eur. Microw. Conf., Oct. 2017, pp. 985–988.
4819
Petronilo Martin Iglesias was born in Caceres, Spain, in 1980. He received the Telecommunication Engineering degree from the Polytechnic University of Madrid, Madrid, Spain, in 2002, and the master’s degree from The University of Leeds, Leeds, U.K., in 2012. He was a Microwave Engineer with industry for more than 15 years for active (high power amplifiers for radar applications) and passive (filters, multiplexers, and couplers) RF hardware design, including two years as a Radar System Engineer with INDRA SISTEMAS, ISDEFE S.A., and Thales Alenia Space Spain. Since 2012, he has been with research and development and project support activities related to RF passive hardware developments for the European Space Agency, Paris, France. His current research interests include filter synthesis theory, electromagnetic design, high-power prediction, and advanced manufacturing techniques for RF passive hardware.
Christoph Ernst bas born in Germany, in 1968. He received the Dipl.Ing. degree in electrical engineering from the University of Dortmund, Dortmund, Germany, in 1996, and the Ph.D. degree from the Institute of Microwaves and Photonics, The University of Leeds, Leeds, U.K., in 2001. He was with the terrestrial telecommunication industry in the USA. In 2005, he joined the European Space Agency (ESA), ESTEC, Noordwijk, The Netherlands, as a Staff Member, a Microwave Engineer, and has been a Payload Engineer since 2012. Since 2016, he has been responsible for the preparation of the yearly ARTES Advanced Technology workplan covering space-based and ground-based telecommunication technology developments and system demonstrations. His current research interests include novel techniques, technologies, and the design of microwave products for satellite-based systems.
Nikolas Sidiropoulos, photograph and biography not available at the time of publication.
Antonio Morini (SM’08) received the Laurea (summa cum laude) and Ph.D. degrees from the Università Politecnica delle Marche, Ancona, Italy, in 1987 and 1992, respectively. He is currently an Associate Professor of applied electromagnetism with the Università Politecnica delle Marche. He is a Consultant for some companies operating in the fields of telecommunications and radar. He is a co-founder of the spinoff Not Only Waves S.r.l. He has co-authored four international patents on microwave components and several scientific papers. His current research interests include the modeling and the design of passive microwave components, such as filters, multiplexers, and antennas.
Andrea Di Donato received the Laurea degree (summa cum laude) in electronics engineering and Ph.D. degree from Università Politecnica delle Marche, Ancona, Italy, in 2000 and 2003, respectively. He is currently with the Department of Electromagnetism, Università Politecnica delle Marche. His current research interests include the development of analytical/numerical methods for the analysis and modeling of integrated optical devices. Dr. Di Donato is a member of the Optical Society of America and the Italian National Institute for the Physics of Matter.
Giuseppe Venanzoni received the Laurea degree in electronics and Ph.D. degree in telecommunication from Università Politecnica delle Marche, Ancona, Italy, in 2001 and 2004, respectively. He was a Post-Doctoral Fellow with the Università Politecnica delle Marche, from 2005 to 2009 and with the Politecnico di Bari, Bari, Italy, from 2012 to 2015. He is currently an Assistant Professor with the Università di Perugia, Perugia, Italy. In 2009, he has co-founded NOW—Not Only Waves S.r.l., a spinoff of the Università Politecnica delle Marche. His current research interests include the modeling and the design of passive and active microwave devices, such as filters, multiplexers, couplers, and amplifiers.
Marco Farina (SM’08) received the Laurea degree (summa cum laude) in electronics and Ph.D. degree from Università Politecnica delle Marche, Ancona, Italy, in 1990 and 1995, respectively. He is currently a Full Professor of electromagnetic fields with Università Politecnica delle Marche. He co-authored, along with Prof. T. Rozzi, Advanced Electromagnetic Analysis of Passive and Active Planar Structures (IET, 1999). His software for modeling of microwave devices is currently used by a number of institutions and companies, including NASA, Coventor, Intel, and RF Microdevices. Dr. Farina is on the Technical Committee of the IEEE Society MTT-25 RF-Nanotechnology.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Dual-Mode Characteristics of Half-Mode SIW Rectangular Cavity and Applications to Dual-Band Filters With Widely Separated Passbands Kang Zhou , Chun-Xia Zhou , Member, IEEE, and Wen Wu, Senior Member, IEEE
Abstract— The dual-mode characteristics of the half-mode substrate-integrated rectangular cavity (HMSIRC) are systematically analyzed for the first time and experimentally demonstrated by two planar substrate-integrated waveguide (SIW) dual-band bandpass filters (DBBPFs) with widely separated passbands. The mode spectrums in an HMSIRC and their constraint relationships are comprehensively investigated first to explore the realizable frequency ratio of the second mode (TE301 or TE102 ) to the first one (TE101 ). The maximum frequency ratio of 1.63 for TE301 /TE101 and 1.72 for TE102 /TE101 can be achieved considering the spacing between the second and third resonances. Subsequently, the formulas of determining the physical dimensions of the dual-mode HMSIRC are derived and validated. The factors impacting the unloaded quality factor Qu of the HMSIRC are also discussed and the square single-mode quarter-mode substrate-integrated rectangular cavity has been investigated. Two compact planar SIW DBBPFs, including a third-order direct-coupled one with frequency ratio of 1.5 based on TE101 and TE301 dual-mode HMSIRC, and a fourth-order cross-coupled one with frequency ratio of 1.7 based on TE101 and TE102 dual-mode HMSIRC, are synthesized and designed for verification. Index Terms— Dual-mode characteristics, dual-band bandpass filters (DBBPFs), half-mode substrate-integrated waveguide (HMSIW), widely separated passbands.
I. I NTRODUCTION
R
ECENT advances in military and commercial electronic systems have greatly stimulated the demands for highly compact and easily integrated bandpass filters (BPFs) [1]–[4], among which the advanced dual-band BPFs (DBBPFs) with high performance and high frequency are stringently required, especially in satellite communication systems where the costs increase exponentially with the mass of the payload. A feasible solution to meet this challenge is to integrate the transceiver front ends into one single subsystem. Consequently, compact and integrated sophisticated DBBPFs with widely separated
Manuscript received January 17, 2018; revised May 24, 2018; accepted July 29, 2018. This work was supported by the National Natural Science Foundation of China under Grant 61401202. (Corresponding author: Kang Zhou.) The authors are with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing 210094, China (e-mail:
[email protected];
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2865557
passbands responsible for both transmission and reception are urgently needed [5], [6]. The microstrip stepped-impendence resonator (SIR) is a very popular component in designing DBBPFs with widely separated passbands [7]–[10]. However, the unloaded quality factor Q u of the microstrip resonators are usually too low to realize excellent filtering responses although compact circuit sizes and large frequency ratios could easily be achieved, rendering the microstrip technology only applicable to lowerfrequency microwave DBBPFs, generally below 6 GHz. As a remarkable tradeoff between the waveguide and microstrip counterparts, the substrate-integrated waveguide (SIW) technology provides an effective solution for self-packaged microwave even millimeter-wave DBBPFs thanks to the merits of larger Q u and higher powerhandling capability [11]. Numerous techniques have been investigated and developed to implement advanced SIW DBBPFs [12]–[17]. Nevertheless, it is usually difficult for these filtering networks to realize widely separated passband. As analyzed in [16], the realizable frequency ratio is only in the range of [1.14, 1.48] when TE101 and TE201 modes in substrate-integrated rectangular cavities (SIRCs) are employed to construct the two passbands. Only few solutions have been proposed to implement SIW DBBPFs with larger frequency ratios. In [18], a hybrid DBBPF is developed with its first passband constructed by microstrip SIRs and the second one dominated by two SIRCs. However, the whole structure is rather complicated and only second-order responses can be achieved. In [19], two miniaturized DBBPFs operating at 2.4/5.2 and 2.4/5.7 GHz are presented based on quadruplefolded SIW where TE10 and TE30 modes are excited to form the two passbands. Nevertheless, only Chebyshev responses can be implemented and the multilayered configuration would greatly increase the fabrication complexities and the costs. In this paper, two compact and planar SIW DBBPFs with widely separated passbands are presented based on half-mode SIW (HMSIW) technology. Various BPFs have been designed and developed using single-mode half-mode SIRC (HMSIRC) [20]–[23] since the HMSIW has been proposed [24] and analyzed [25], but the dual-mode properties of the HMSIRC have not been studied and applied yet according to the author’s knowledge. In this paper, the dual-mode
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
cut in half. Considering only the constraint relationship among the first three resonances in the HMRWC, we define f 1 , f 2 , and f 3 as the resonant frequencies of the first three modes, then we have f 1 = f TE101 . Fig. 1.
Top view of a dielectric-filled HMRWC with h L < W .
characteristics of the HMSIRC are theoretically analyzed and experimentally applied to SIW DBBPFs for the first time. The mode spectrums in the HMSIRC and their constraint relationships are comprehensively investigated to explore the realizable frequency ratio of the first two modes. Subsequently, the equations to determine the physical dimensions of a dualmode HMSIRC are deduced and verified by simulation. The factors impacting the Q u of the HMSIRC are also discussed and the square single-mode quarter-mode SIRC (QMSIRC) has been investigated. Two SIW DBBPFs with widely separated passbands, i.e., a third-order direct-coupled one with frequency ratio of 1.5 based on TE101 and TE301 dualmode HMSIRC, and a fourth-order cross-coupled one with frequency ratio of 1.7 based on TE101 and TE102 dual-mode HMSIRC, are synthesized, designed, fabricated, and tested for demonstration. Although similar analytical method and coupling schemes developed for whole SIRC in [16] and [17] are introduced, the emphasis of this paper is to analyze the dual-mode properties of the HMSIRC, based on which SIW DBBPFs with widely separated passbands can be achieved for the two cases. If the whole SIRC exploited in [16] can be regarded as a microstrip half-wavelength SIR, the HMSIRC used in this paper is a quarter-wavelength one, with which larger frequency ratios can generally be implemented. II. D UAL -M ODE C HARACTERISTICS OF HMSIRC Fig. 1 depicts the geometry of a dielectric-filled half-mode rectangular waveguide cavity (HMRWC), i.e., the equivalent structure of an HMSIRC, where the dark half represents the top metal of the HMRWC, while the white half denotes the part cutoff. μ0 and μr are the vacuum and relative permeability, while ε0 and εr are the vacuum and relative permittivity, W and L denote the sizes of the whole RWC in x- and z-axis directions, respectively. As is well known, the resonant frequency of the TEm0q mode in an RWC can be determined by [26] m 2 q 2 c f TEm0q = √ + (1) 2 μr εr W L where c is the light velocity in vacuum and m and q are the mode indices along x- and z-axis directions, respectively. Benefitting from the magnetic wall in the symmetrical plane, some even modes will not be excited in the HMRWC. Without loss of generality, we assume W ≥ L, then the first four modes would be TE101, TE102, TE301, and TE501. Here the modes are expressed with the forms in the whole RWC to avoid ambiguity and confusion although their fields would be
(2)
The frequency ratios of the higher order modes to the fundamental one can be deduced by using (1) as 2 m 2 + qL f TEm0q m2 − q2 W 2+ = = q . (3) 1 2 f TE101 1 + (W/L)2 1 2 + W L The frequency ratios of the second and third modes to the fundamental one, i.e., f 2 / f 1 and f 3 / f 1 , can be obtained basically considering the following three situations. 1) f TE101 < f TE301 < f TE501 ≤ · · · ≤ f TE102 ≤ · · · : From the condition f TE501 ≤ fTE102 , we obtain W /L ∈ [81/2, +∞). If we define k = f 2 / f1 , then f2 fTE301 8 k= = = 1+ . (4) f1 fTE101 1 + (W/L)2 Taking the range of W /L into (4) yields k ∈ (1, (17/9)1/2], and f TE501 24 f3 = = 1+ = 3k 2 − 2. (5) 2 f1 f TE101 1 + (W/L) 2) f TE101 < fTE301 ≤ f TE102 ≤ · · · ≤ f TE501 ≤ · · · : From the condition f TE301 ≤ f TE102 ≤ f TE501 , we have W /L ∈ [(8/3)1/2, 81/2 ], and k is the same as that in 1). Taking the range of W /L into (4) yields k ∈ [(17/9)1/2, (35/11)1/2], thus 35 − 3k 2 f TE102 3 f3 . (6) = = 4− = f1 f TE101 1 + (W/L)2 8 3) f TE101 < f TE102 ≤ f TE301 ≤ · · · : By condition f TE102 ≤ f TE301 , we get W /L ∈ [1, (8/3)1/2], and f TE102 3 f2 = = 4− . (7) k= f1 fTE101 1 + (W/L)2 Substituting W /L into (7) yields k ∈ [(5/2)1/2, (35/11)1/2], thus 35−8k 2 f TE301 8 f3 . (8) = = 1+ = 2 f1 f TE101 1+(W/L) 3 If we define S23 as the spacing between f 2 and f 3 normalized to f 1 , then S23 can be obtained as f3 − f2 f3 = −k S23 = f f1 ⎫ ⎧√ 1 √ ⎪ ⎪ 3k 2 − 2 − k, k ∈ 1, 17/9 ⎪ ⎪ ⎬ ⎪ ⎪ ⎪ ⎪ 2 √ f 2 = f TE301 ⎨ 35−3k √ −k, k ∈ 17/9 , 35/11 ⎪ ⎪ = ⎭ 8 ⎪ ⎪ ⎪ ⎪ 2 ⎪ √ √ ⎪ f 2 = f TE102 . ⎩ 35−8k − k, k ∈ 5/2, 35/11 3 (9)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHOU et al.: DUAL-MODE CHARACTERISTICS OF HMSIRC AND APPLICATIONS TO DUAL-BAND FILTERS
3
be seen that the maximum frequency ratio of around 1.72 can be achieved with f 2 = fTE102 , much larger than that of 1.48 in the whole RWC. Furthermore, f2 = f TE301 must be employed if k < 1.58 is required, and the smaller the frequency ratio k is, the larger the aspect ratio W /L will become. W /L would reach 5.52 when k approaches 1.12, resulting nonideal shape of the HMRWC. Since the frequency ratio k is only dependent on aspect ratio W /L according to (4) and (7), changing the material parameters (dielectric constant εr and loss tangent tanδ) and the substrate thickness h would not impact the realizable frequency ratios. Nevertheless, the frequency ratios out of the above ranges can also be realized by some techniques. Besides the hybrid method proposed in [18] and quadruplefolded SIW presented in [19], larger frequency ratios may also be implemented by dual-mode QMSIRC, which needs further investigation. Furthermore, smaller frequency ratios could usually be achieved by band-splitting techniques [12], [13] or by adopting resonances adjacent to each other, such as the TE102 and TE201 modes as those in [27]–[29]. III. D IMENSION D ETERMINATION AND Q u I NVESTIGATION OF THE D UAL -M ODE HMSIRC S AND S INGLE -M ODE QMSIRC
Fig. 2. (a) Design curves of f TEm0q / f TE101 and f 2 / f 1 , f 3 / f 1 versus the aspect ratio W /L. (b) Design curves of f 2 / f 1 , f 3 / f 1 and the normalized spacing S23 = ( f 3 − f 2 )/ f 1 versus the frequency ratio k = f 2 / f 1 .
Fig. 2(a) shows the frequency ratios of the first three higherorder resonances and f 2 , f3 to the fundamental frequency f 1 versus the aspect ratio W /L. As can be seen, the second mode is TE102 when 1 ≤ W /L ≤ (8/3)1/2 and TE301 when W /L > (8/3)1/2, while the third mode is TE301 when 1 ≤ W /L ≤ (8/3)1/2, TE102 when (8/3)1/2 ≤ W /L ≤ 81/2, and TE501 when W /L > 81/2 . Fig. 2(b) delineates f 2 / f 1 , f 3 / f 1 , and the normalized spacing S23 versus the frequency ratio k = f 2 / f1 , where the left graph corresponds to the case of f 2 = fTE301 , while the right one is the case of f 2 = f TE102. It can be seen from the left graph that with the increase of k, f 2 / f 1 increases monotonically while f 3 / f1 increases first and then decreases, resulting in the similar variations of S23 and f 3 / f1 . The maximums of f 3 / f 1 and S23 arise at the point k = 1.374, where TE102 and TE501 modes have the same resonant frequencies. In the right graph, f 2 / f 1 increases linearly while f 3 / f1 decreases monotonically against k, leading to the continuous decline of S23 . It can thus be obtained from the two graphs that if a restriction that S23 ≥ 0.2 is required for SIW DBBPFs to remove the spurious harmonics away from the passbands when f 1 and f 2 are utilized to dominate the respective first and second passbands, the realizable frequency ratio k will be in range of [1.12, 1.63] when f 2 = f TE301 and [1.58, 1.72] when f 2 = fTE102 . If a more stringent restriction that S23 ≥ 0.3 must be satisfied, the achievable k will be in range of [1.18, 1.56] when f 2 = f TE301 and [1.58, 1.69] when f 2 = f TE102 . It can
A. Determination of Physical Dimensions of the Dual-Mode HMSIRCs and Simulation Verifications When the first two resonances in the HMSIRC have been specified as f 1 and f 2 , the physical dimensions of the RWC, i.e., the equivalent sizes of corresponding SIRC, can be formulated as a function of f 1 and f2 by solving (1) as ⎧ ⎪ ⎪ 8/ f 12 c 8 c ⎪ ⎪ ⎪ ⎨W = 2√μr εr f 2 − f 2 = 2√μr εr k 2 − 1 2 1 (10) ⎪ 2 ⎪ 8/ f c 8 c ⎪ 1 ⎪ ⎪ ⎩ L = 2√μ ε 9 f 2 − f 2 = 2√μ ε 9 − k 2 r r
1
2
r r
when f 1 = f TE101 and f 2 = f TE301, while the expressions become the following forms when f 1 = f TE101 and f 2 = f TE102 : ⎧ ⎪ ⎪ 3/ f 12 c c 3 ⎪ ⎪ W = = ⎪ √ √ ⎨ 2 μr εr 4 f 12 − f 22 2 μr εr 4 − k 2 (11) ⎪ 2 ⎪ 3/ f 3 c c ⎪ 1 ⎪ . = √ ⎪ ⎩ L = 2√μ ε 2 μr εr k 2 − 1 f 22 − f 12 r r Taking into account the equivalence between the RWC and SIRC and the fringing fields in the open symmetrical plane, the physical sizes of the HMSIRC can be represented as WHMSIRC = WSIRC /2 − W,
L HMSIRC = L SIRC
(12)
where WHMSIRC and L HMSIRC denote the width and length of the HMSIRC, while WSIRC and L SIRC , which could be estimated by (13) [30], are the width and length of the corresponding SIRC, respectively. Characterizing the effect
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I C ALCULATED AND S IMULATED K EY PARAMETERS OF THE D UAL -M ODE HMSIRC S AND S INGLE -M ODE QMSIRC
of the fringing fields, the parameter W can be calculated by (14) developed in [25] d2 d2 , L SIRC = L + (13) WSIRC = W + 0.95 · p 0.95 · p W 0.3 = 0.05 + h εr (W/2)2 104(W/2)−261 38 + 2.77 + + × ln 0.79 h3 h2 h (14) where d is the diameter of the via holes, p is the pitch between adjacent via holes, and h is the thickness of the substrate. All the examples presented in this paper are designed with d = 0.9 mm, p = 1.5 mm, and on Rogers RT/Duroid 5880 substrate with εr = 2.2, tanδ = 0.0009, and h = 0.508 mm. To validate the accuracy of the above derived formulas, two dual-mode HMSIRCs are calculated, designed, and simulated. HMSIRC 1 is designed with f 1 = f TE101 = 5 GHz and f 2 = f TE301 = 7.5 GHz (k = 1.5), while HMSIRC 2 is designed with f1 = f TE101 = 5 GHz and f 2 = f TE102 = 8.5 GHz (k = 1.7). The calculated and simulated parameters of the two HMSIRCs have been listed in Table I, where f and Q u represent the resonant frequency and unloaded quality factor, respectively. The simulations are carried out using full-wave simulator ANSYS HFSS with all the conductive, dielectric, and radiation losses included. The top and bottom surfaces of the HMSIRC are all copper coated with thickness of 17 μm. It can be observed that the deviations of the simulated f of the three modes from the theoretical values are within 1% and 1.3% for HMSIRCs 1 and 2, respectively. The larger discrepancies for HMSIRC 2 are mainly introduced by the wider open side. Another issue revealed is that the higher the resonant frequency is, the larger the Q u will be. The possible reason may be found in [25] that the attenuation constant of the HMSIW decreases with the frequency getting higher. Figs. 3(a) and 4(a) show the electric field distributions of the first three modes in the respective HMSIRCs 1 and 2, where a row of metalized via holes have been placed near the open side to prevent the radiation along the x-axis direction. Figs. 3(b) and 4(b) delineate the curves of the resonant
Fig. 3. (a) Electric field distributions of the first three modes in HMSIRC 1. (b) Resonant frequencies f (solid curves) and the unloaded quality factors Q u (dashed curves) of the three modes versus the gap width g. Dimensions in mm: d = 0.9, p = 1.5, g = 0.5, WHM = 24.97, and L HM = 22.59.
Fig. 4. (a) Electric field distributions of the first three modes in HMSIRC 2. (b) Resonant frequencies f (solid curves) and the unloaded quality factor Q u (dashed curves) of the three modes versus the gap width g. Dimensions in mm: d = 0.9, p = 1.5, g = 0.5, WHM = 16.07, and L HM = 26.05.
frequencies f and the unloaded quality factor Q u of the three modes versus the gap width g for HMSIRCs 1 and 2, respectively. It can be seen all the resonances seem less dependent on g but the Q u increases slightly and then leveled off with g getting larger. This phenomenon may be explained that the conductive loss increases induced by the stronger field and current densities around the slot when the gap becomes narrower. Considering comprehensively the losses and the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHOU et al.: DUAL-MODE CHARACTERISTICS OF HMSIRC AND APPLICATIONS TO DUAL-BAND FILTERS
5
Fig. 6. Electric field distributions of the first three modes in the QMSIRC. Dimensions in mm: d = 0.9, p = 1.5, g = 0.5, and WQM = L QM = 13.77.
Fig. 5. Extracted curves of unloaded quality factor Q u of the three modes in HMSIRC 2 versus the substrate thickness h (dashed curves) and loss tangent tanδ (solid curves).
sizes, the gap width g can be selected as 0.5 mm in practical design. B. Discussion on Unloaded Quality Factor Qu of the HMSIRC As stated in [11], Q u of the SIRC would increase with the substrate thickness h increasing and material loss tangent tanδ decreasing, which is also correct for the HMSIRC. Fig. 5 shows the extracted curves of Q u of the first three modes in HMSIRC 2 against h and tanδ, and the same regularity as that in the SIRC can be seen for all of the three modes. Similar to the SIRC, the loss mechanism of an HMSIRC is not only closely related to the physical and electrical parameters such as material, thickness, shape, conductive loss, dielectric loss, leakage loss, and radiation loss but also associated with the resonant-mode behavior. A specific resonance is directly related to electric and magnetic field distributions of such a mode inside the structure, which is also responsible for the loss mechanism [11]. From different behavior as the resonant frequencies in Figs. 3(b), 4(b), and 5, it can be deduced that Q u of TE301 mode would be higher than that of TE102 in the HMSIRC if the two modes are resonating at the same frequency. In addition, for the same modes with the same frequency, it may be inferred from the two HMSIRCs that Q u would be higher for the HMSIRC with larger aspect ratio WHM /L HM due to the narrower open side. These features may be considered in the selection of the dielectric substrate, resonant modes, and aspect ratio in practical circuit design. C. Simulation Verification of the Single-Mode QMSIRC Since the single-mode QMSIRC has also been employed in our design, the dimensions of the square QMSIRC have been calculated and the key parameters are extracted and verified by full-wave simulations. The QMSIRC is designed with f TE101 = 5 GHz and the sizes could be figured out by (10), (12), and (13), and compensated by (14) for both open ends. The calculated and simulated parameters have been listed in Table I, and the electric field distributions of the first three modes have been depicted in Fig. 6. As can be seen, larger mono-modal bandwidth has been achieved for TE101 mode since the resonant frequencies of the first higher
Fig. 7. Third-order direct-coupled DBBPF. (a) Coupling topology. (b) Geometric configuration.
order degenerate TE301 and TE103 modes reached (5)1/2 times of TE101. As the cost, larger deviation of the simulated and calculated f and lower Q u can be observed for the three modes compared with those in HMSIRC because of the two open sides. In addition, it can be seen from Fig. 6 that the electric fields of TE301 and TE103 modes are a little rotated influenced by the two open sides, leading to a small difference between the resonant frequencies of the two modes. Two SIW DBBPFs with widely separated passbands based on the above dual-mode HMSIRCs and single-mode QMSIRC would be demonstrated in Section IV and V, and the dual-mode coupling controlling technique in [17] can also be applied, which will be detailed in the designs below. IV. T HIRD -O RDER D IRECT-C OUPLED DBBPF A. Coupling Topology and Geometric Configuration The first design is a third-order direct-coupled SIW DBBPF, whose coupling topology has been shown in Fig. 7(a), where the superscripts I and II denote the first and second passbands, respectively. The dual-mode resonators 1 and 3 operate with their one resonances (1I and 3I ) at the first passband ( f 1 ) and another resonances (1II and 3II ) at the second one ( f 2 ), while the single-mode resonators 2I and 2II operate only at f 1 and f 2 , respectively. Here the scheme exploited in [16] has been utilized to realize the desired function but with different
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
mode implementations. The superiority of this topology lies in that each of the internal direct couplings can be implemented individually to meet the prescribed specifications. Fig. 7(b) depicts the geometric configuration of this DBBPF, where HMSIRCs 1 and 3, operating simultaneously at f 1 and f 2 , are designed with f TE101 = f 1 and fTE301 = f 2 using the dual-mode HMSIRC developed in Section III; the HMSIRC 2I , operating only at f 1 , is constructed by a TE101-mode HMSIRC with f TE102 = f TE301 ≈ 1.78 f TE101 to remove the spurious frequencies as high as possible; and the SIRC 2II , operating only at f 2 , is designed as the same length as HMSIRC 2I based on a TE101 -mode SIRC. The filter is excited by two 50- microstrip lines connected to HMSIRCs 1 and 3 with the coupling slots and an offset tio , and the couplings between adjacent resonators are realized by the coupling windows opened on their common postwalls. In addition, it is noteworthy that the realizable frequency ratio of this DBBPF is smaller than that obtained in Section II as the spurious resonances could only be at most removed to 1.78 f 1 limited by the single-mode HMSIRC 2I , in which f TE102 = f TE301 ≈ 1.78 f TE101 is the optimal achievable case. Accordingly, the realizable frequency ratio of this DBBPF will be in range of [1.12, 1.58] when S23 ≥ 0.2 and [1.18, 1.48] when S23 ≥ 0.3 is required. B. Synthesis Design and Electric Field Distributions This DBBPF is synthesized with Chebyshev response and 20-dB return loss (RL) for each band. The target specifications are the center frequencies of f 1 = 5 GHz and f 2 = 7.5 GHz ( f 2 / f 1 = 1.5) with ripple fractional bandwidths (FBWs) of 1 = 3.75% and 2 = 3.1% (2 /1 = 0.83) for the first and second passbands, respectively. The design parameters can be obtained based on the well-established synthesis procedure in [31] as I I = M23 = 0.0386 M12 II II M12 = M23 = 0.0319
Q Ie = 22.76 Q II e = 27.53.
The initial sizes of HMSIRCs 1 and 3 have been determined in Section III as w1 = 24.97 mm and l1 = 22.59 mm; and the dimensions of HMSIRC 2I , operating with f TE101 = 5 GHz and fTE102 = f TE301 ≈ 1.78 f TE101, can be figured out by (10) and (12)–(14) as w2I = 18.79 mm and l2 = 24.29 mm. Finally, SIRC 2II is designed as the same length as HMSIRC 2I as w2II = 16.96 mm. The design curves of the design parameters, including the external quality factors Q e and the internal coupling coefficients Mi j in the two passbands, can then be extracted using the techniques in [31] based on these dimensional parameters. Fig. 8 shows the extracted curves of Q Ie , Q II e , and their ratio Q Ie /Q II versus the offset t with the coupling slot io e length ls as a parameter. It can be seen that the variations of these curves are rather similar to but not identical as those presented in [16] and [17], i.e., with tio increasing, Q Ie increases monotonically, while Q II e rises first then decreases and then increases, resulting in that Q Ie /Q II e declines first and then increases rapidly. Furthermore, both Q Ie and Q II e decrease against ls , but Q Ie /Q II e seems less dependent on it.
Fig. 8. Design curves of Q Ie (red dashed curves), Q II e (blue dashed-dotted curves), and Q Ie /Q II e (black solid curves) versus tio with ls as a variable for the third-order direct-coupled DBBPF. Dimensions in mm: g = 0.5, wms = 1.54, ws = 2.2, wio = 7.5, w1 = 24.97, and l1 = 22.59.
Fig. 9. Electric field distributions in the third-order direct-coupled DBBPF at (a) 5 GHz ( f 1 ) and (b) 7.5 GHz ( f 2 ).
This characteristic is the same as that in [16] and [17], thus both Q Ie and Q II e can easily be realized by using the dual-mode coupling controlling technique in [17]. Once Q Ie and Q II e have been synthesized, tio can immediately be located by their ratio I II Q Ie /Q II e , the required values of Q e and Q e can then be met simultaneously by determining proper ls . Since Q Ie = 22.76, I II Q II e = 27.53, and Q e /Q e = 2 /1 = 0.83 due to the same filter-order and ripple-level for the two bands, the initial values of the coupling parameters can be estimated as tio = 13.5 mm and ls = 7.75 mm. As stated above, the internal couplings of the two passbands in this topology are single-mode ones and can be implemented independently. Thus, the traditional procedure well established in [31] can be followed for the extraction of Mi j and the design curves are no longer provided here. After all the initial dimensional parameters have been determined, an optimization process should be carried out to obtain more accurate results. The method described in [17] can then be followed to tune the RLs and FBWs in the two passbands to meet the specifications. To perceive the operating mechanism of each passband intuitively, Fig. 9(a) and (b) shows the electric field distributions in this DBBPF at 5 GHz ( f 1 ) and 7.5 GHz ( f 2 ), respectively. As can be seen, the first passband is comprised of TE101 modes (half) in HMSIRCs 1, 3, and 2I while the second one is constructed by TE301 modes (half) in HMSIRCs 1 and 3 as well as the TE101 mode (whole) in SIRC 2II . C. Experimental Results Table II lists the final dimensions of this DBBPF, while the inset in Fig. 10 is the photograph of the fabricated
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHOU et al.: DUAL-MODE CHARACTERISTICS OF HMSIRC AND APPLICATIONS TO DUAL-BAND FILTERS
7
TABLE II D IMENSIONS OF THE T HIRD -O RDER D IRECT-C OUPLED DBBPF
Fig. 11. Fourth-order cross-coupled DBBPF. (a) Coupling topology. (b) Geometric configuration. Fig. 10. Theoretical, simulated, and measured responses of the third-order direct-coupled DBBPF. Inset: photograph of the fabricated prototype.
prototype with the overall circuit size of 66.9 mm × 37.8 mm (1.65λg × 0.93λg ), where λg = c/( f 1 × (εr )1/2 ) is the guided wavelength in the dielectric substrate at f1 . Measurements have been carried out using an Agilent N5244A network analyzer, and Fig. 10 shows the measured and simulation results as well as the theoretical responses with average Q u = 200. Good agreement can be seen among these filtering curves except a little deviation between the simulations and the specifications out of the second passband, which are basically influenced by the extra transmission zero TZ1 and the spurious peaks at about 8.6 GHz induced by TE301 and TE102 resonances in HMSIRC 2I . TZ1 and TZ2 are generated by modal bypass couplings in SIRCs according to [32]. When TE301 resonance in HMSIRC 1 or 3 is regarded as passband, TE101 is viewed as spurious mode and the coupling window of the second passband is treated as output port, TZ1 will be produced. TZ2 is generated when TE301 resonance in HMSIRC 2I is regarded as passband, TE101 is viewed as spurious mode and the two coupling windows on HMSIRC 2I are treated as I/O ports. For the filter performance, the simulated ripple bandwidths of the first and second passbands are 186 and 226 MHz (1 = 3.72% and 2 = 3.02%) for 20-dB RLs with 3-dB bandwidths of 278 and 364 MHz, while the measured 3-dB bandwidths are 273 and 356 MHz with minimal in-band insertion losses (ILs) of 1.65 and 2.25 dB. Excellent agreement can be seen between the simulation and the measured results. V. F OURTH -O RDER C ROSS -C OUPLED DBBPF A. Coupling Topology and Geometric Configuration The second design is a fourth-order cross-coupled DBBPF, whose coupling topology has been shown in Fig. 11(a), where the dual-mode resonators 1 and 4 operate with their one
resonances (1I and 4I ) at the first passband and another resonances (1II and 4II ) at the second one, while the singlemode resonators 2I (3I ) and 2II (3II ) operate only at f 1 and f 2 , respectively. This coupling scheme has been extensively utilized in the design of microstrip DBBPFs [8], [9] and first introduced to SIW platform in [16]. This demo DBBPF is also based on this advanced coupling topology but with different implementation forms. Fig. 11(b) depicts the geometric configuration of this filter, where the HMSIRCs 1 and 4 are designed with fTE101 = f 1 and f TE201 = f 2 using the dual-mode HMSIRC developed in Section III; the QMSIRCs 2I and 3I , operating only at f 1 , are constructed by two square single-mode QMSIRCs presented in Section III-C to remove the spurious frequencies as high as possible; and the HMSIRCs 2II and 3II , operating only at f 2 , are designed as the same length as HMSIRCs 1 and 4 using two TE101 -mode HMSIRCs. The magnetic direct couplings between adjacent SIRCs are realized by corresponding coupling windows open on their common postwalls, while the electric cross couplings between HMSIRCs 1 and 4 are implemented by an interdigital-like capacitive coupling structure proposed in [33], which has been detailed in the top left corner of Fig. 11(b). This electric coupling structure is very suitable for this case as the electric field is the strongest in the open end of the HMSIRC. Similarly, an offset is arranged for the I/O ports (tio ) and cross-coupling window (tc ) to control the dual-mode external couplings and internal cross couplings, respectively. B. Synthesis Design and Electric Field Distributions This DBBPF is synthesized with quasi-elliptic response and 20-dB RL for each passband. The target specifications are the center frequencies of f 1 = 5 GHz and f 2 = 8.5 GHz ( f 2 / f 1 = 1.7) with the ripple FBWs of 1 = 6% and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Design curves of Q Ie (red dashed curves), Q II e (blue dashed-dotted curves), and Q Ie /Q II e (black solid curves) versus tio with ls as a variable for the fourth-order cross-coupled DBBPF. Dimensions in mm: g = 0.5, wms = 1.54, ws = 2.3, wio = 7.5, w1 = 26.05, and l1 = 16.07.
I (red dashed curves), M II (blue dashed-dotted Fig. 13. Design curves of M14 14 II /M I (black solid curves) versus t with l as a variable curves), and M14 c cs 14 for the fourth-order cross-coupled DBBPF. Dimensions in mm: g = 0.5, wcs = 0.6, wc1 = 0.15, wc2 = 0.5, wcm = 4.5, w1 = 26.05, and l1 = 16.07.
2 = 6.6% (2 /1 = 1.1), and the finite-transmission zeros are placed at 1 = ±1.8 and 2 = ±1.7 for the first and second passbands, respectively. The design parameters can be figured out according to [31] as I I I = M34 = 0.0514 M23 = 0.0472 M12 I I Q e = 16.00 M14 = −0.0132 II II II M12 = M34 = 0.0558 M23 = 0.0527 II II Q e = 14.59. M14 = −0.0167
The initial sizes of HMSIRCs 1 and 4 have been calculated in Section III as w1 = 26.05 mm and l1 = 16.07 mm; the sizes of QMSIRCs 2I and 3I , operating only at 5 GHz, have also been figured out in Section III as w2I = l2I = 13.77 mm. Finally, the HMSIRCs 2II and 3II , operating only at 8.5 GHz, are designed as the same lengths as HMSIRCs 1 and 4 as w2II = 13.31 mm and l2II = 16.07 mm. I II Fig. 12 gives the extracted curves of Q Ie , Q II e , and Q e /Q e versus the offset tio with the coupling slot length ls as a variable. As can be seen, the characteristics of these curves are the same as those in [17] because of the same modes employed. Thus, the required Q Ie and Q II e can be realized simultaneously based on the dual-mode coupling controlling I II technique. Since Q Ie = 16, Q II e = 14.59, and Q e /Q e = 1.1, the coupling parameters can be evaluated as tio = 6.5 mm and ls = 9.25 mm. I , M II , and their Fig. 13 shows the extracted curves of M14 14 II I ratio M14 /M14 versus the offset tc with the coupling line length lcs as a parameter. Since the variations of these curves are the I and M II can also same as those in [17], the required M14 14 be realized simultaneously based on the dual-mode coupling controlling technique. It has been analyzed in [33] that besides lcs , the coupling strengths of this electric coupling structure would also be determined by the coupling linewidth wcs and slot width wc1 . Generally, the larger the wcs and the smaller the wc1 are, the stronger the electric couplings will become. Nevertheless, a significant tuning may be needed in practical design due to the weak couplings required, and the coupling parameters here can roughly be estimated as tc = 4 mm and lcs = 2.3 mm.
Fig. 14. Electric field distributions in the fourth-order cross-coupled DBBPF at (a) 5 GHz ( f 1 ) and (b) 8.5 GHz ( f 2 ). TABLE III D IMENSIONS OF THE F OURTH -O RDER C ROSS -C OUPLED DBBPF
Fig. 14(a) and (b) shows the electric field distributions in this DBBPF at 5 ( f 1 ) and 8.5 GHz ( f 2 ), respectively. It can be seen that the first passband is dominated by TE101 modes in HMSIRCs 1 and 4 (half) and QMSIRCs 2I and 3I (quarter), while the second one is constructed by TE201 modes in HMSIRCs 1 and 4 (half) and TE101 modes in HMSIRCs 2II and 3II (half). C. Experimental Results The final dimensions of this filter have been listed in Table III, while Fig. 15 shows the measured and simulation results as well as the theoretical responses with average Q u = 200, where the inset is the photograph of the fabricated prototype with the overall circuit size of 33.9 mm × 53 mm (0.84λg × 1.31λg ). It can be observed that both passbands
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHOU et al.: DUAL-MODE CHARACTERISTICS OF HMSIRC AND APPLICATIONS TO DUAL-BAND FILTERS
9
TABLE IV C OMPARISONS W ITH O THER R EPORTED A DVANCED SIW DBBPFs
VI. C ONCLUSION
Fig. 15. Theoretical, simulated, and measured responses of the fourth-order cross-coupled DBBPF. Inset: photograph of the fabricated prototype.
are quasi-elliptical ones and the average Q u in the first passband is lower than 200 due to the introduction of the QMSIRCs. The simulated ripple bandwidths of the first and second passbands are 296 and 551 MHz (1 = 5.92% and 2 = 6.48%) for 20-dB RLs with 3-dB bandwidths of 311 and 624 MHz, while the measured 3-dB bandwidths are 313 and 659 MHz with minimal in-band ILs of 2.02 and 1.82 dB, respectively. Satisfactory agreement can be seen between the simulation and the measured results, and the peaks at around 10.2 GHz are produced by the TE103 resonances in HMSIRCs 1 and 4. D. Comparisons Table IV illustrates the comparisons of our presented SIW DBBPFs with other reported advanced ones. Compared with the designs in [12] and [15]–[17], our proposed ones provide more widely separated passbands and smaller footprints benefitting from the HMSIW technology. Compared to the designs in [18] and [19], our proposed DBBPFs have the advantages of easier implementation of higher-order and/or quasi-elliptic responses, easily controlled FBW ratio, and simpler configurations.
The dual-mode characteristics of the HMSIRC are systematically analyzed for the first time and experimentally demonstrated by two planar SIW DBBPFs. The mode spectrums in the HMSIRC and their constraint relationships are comprehensively investigated to explore the realizable frequency ratio of the first two modes. The equations to determine the physical dimensions of a dual-mode HMSIRC are derived and verified. The factors impacting the Q u of the HMSIRC are also discussed and the square single-mode QMSIRC is investigated. If the whole SIRC can be regarded as a microstrip half-wavelength SIR, then the HMSIRC is a quarter-wavelength one, with which larger frequency ratios can be achieved for the first two modes. Two SIW DBBPFs, including a third-order direct-coupled one with frequency ratio of 1.5 based on TE101 and TE301 dual-mode HMSIRC, and a fourth-order cross-coupled one with frequency ratio of 1.7 based on TE101 and TE102 dual-mode HMSIRC, are synthesized, designed, fabricated, and tested for demonstration, showing great potential of the dual-mode HMSIRCs in implementing compact and integrated SIW DBBPFs with widely separated passbands for Tx/Rx satellite communication applications. R EFERENCES [1] S. Moscato, C. Tomassoni, M. Bozzi, and L. Perregrini, “Quarter-mode cavity filters in substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 8, pp. 2538–2547, Aug. 2016. [2] P. Chu et al., “Dual-mode substrate integrated waveguide filter with flexible response,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 824–830, Mar. 2017. [3] L. Silvestri, E. Massoni, C. Tomassoni, A. Coves, M. Bozzi, and L. Perregrini, “Substrate integrated waveguide filters based on a dielectric layer with periodic perforations,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 8, pp. 2687–2697, Aug. 2017. [4] B. Lee, B. Koh, S. Nam, T.-H. Lee, and J. Lee, “Band-switchable substrate-integrated waveguide resonator and filter,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 1, pp. 147–156, Jan. 2018. [5] U. Naeem, S. Bila, M. Thevenot, T. Monediere, and S. Verdeyme, “A dual-band bandpass filter with widely separated passbands,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 450–456, Mar. 2014.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
[6] U. Naeem, A. Périgaud, and S. Bila, “Dual-mode dual-band bandpass cavity filters with widely separated passbands,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 8, pp. 2681–2686, Aug. 2017. [7] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [8] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of dual- and triplepassband filters using alternately cascaded multiband resonators,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 9, pp. 3550–3558, Sep. 2006. [9] S.-B. Zhang and L. Zhu, “Synthesis design of dual-band bandpass filters with λ/4 stepped-impedance resonators,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1812–1819, May 2013. [10] M. Pal and R. Ghatak, “A distinctive resonance: Multiband bandpass filter design techniques using multimode resonators,” IEEE Microw. Mag., vol. 16, no. 11, pp. 36–55, Dec. 2015. [11] X.-P. Chen and K. Wu, “Substrate integrated waveguide filter: Basic design rules and fundamental structure features,” IEEE Microw. Mag., vol. 15, no. 5, pp. 108–116, Jul./Aug. 2014. [12] X.-P. Chen, K. Wu, and Z.-L. Li, “Dual-band and triple-band substrate integrated waveguide filters with chebyshev and quasi-elliptic responses,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2569–2578, Dec. 2007. [13] P. Li, H. Chu, and R.-S. Chen, “Design of compact bandpass filters using quarter-mode and eighth-mode SIW cavities,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 6, pp. 956–963, Jun. 2017. [14] K. Dhwaj, X. Li, Z. Shen, and S. Qin, “Cavity resonators do the trick: A packaged substrate integrated waveguide, dual-band filter,” IEEE Microw. Mag., vol. 17, no. 1, pp. 58–64, Jan. 2016. [15] B.-J. Chen, T.-M. Shen, and R.-B. Wu, “Dual-band vertically stacked laminated waveguide filter design in LTCC technology,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 6, pp. 1554–1562, Jun. 2009. [16] K. Zhou, C.-X. Zhou, and W. Wu, “Resonance characteristics of substrate-integrated rectangular cavity and their applications to dualband and wide-stopband bandpass filters design,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1511–1524, May 2017. [17] K. Zhou, C.-X. Zhou, and W. Wu, “Substrate-integrated waveguide dual-mode dual-band bandpass filters with widely controllable bandwidth ratios,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 10, pp. 3801–3812, Oct. 2017. [18] L.-S. Wu, J.-F. Mao, W.-Y. Yin, and Y.-X. Guo, “A dual-band filter using stepped-impedance resonator (SIR) embedded into substrate integrated waveguide (SIW),” in Proc. IEEE Elect. Design Adv. Package Syst. Symp., Singapore, Dec. 2010, pp. 1–4. [19] W. Shen, W.-Y. Yin, and X.-W. Sun, “Miniaturized dual-band substrate integrated waveguide filter with controllable bandwidths,” IEEE Microw. Wireless Compon. Lett, vol. 21, no. 8, pp. 418–420, Aug. 2011. [20] Y. Wang et al., “Half mode substrate integrated waveguide (HMSIW) bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 4, pp. 265–267, Apr. 2007. [21] V. Sekar and K. Entesari, “A novel compact dual-band half-mode substrate integrated waveguide bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, Jun. 2011, pp. 1–4. [22] V. Sekar and K. Entesari, “A half-mode substrate-integrated-waveguide tunable filter using packaged RF MEMS switches,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 7, pp. 336–338, Jul. 2012. [23] C.-X. Zhou, C.-M. Zhu, and W. Wu, “Tunable dual-band filter based on stub-capacitor-loaded half-mode substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 147–155, Jan. 2017. [24] W. Hong et al., “Half mode substrate integrated waveguide: A new guided wave structure for microwave and millimeter wave application,” in Proc. Joint 31st Int. Conf. Infr. Millim. Waves 14th Int. Conf. Teraherz Electron., Shanghai, China, Sep. 2006, p. 219. [25] Q. Lai, C. Fumeaux, W. Hong, and R. Vahldieck, “Characterization of the propagation properties of the half-mode substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 8, pp. 1996–2004, Aug. 2009. [26] D. M. Pozar, Microwave Engineering, 3rd ed. New York, NY, USA: Wiley, 2005, sec. 3, ch. 6, pp. 278–279. [27] H. Chu and J.-X. Chen, “Dual-band substrate integrated waveguide balun bandpass filter with high selectivity,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 379–381, Jun. 2014. [28] M. Rezaee and A. R. Attari, “A novel dual mode dual band SIW filter,” in Proc. 44th Eur. Microw. Conf., Rome, Italy, Oct. 2014, pp. 853–856.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[29] K. Zhou, C.-X. Zhou, and W. Wu, “Substrate-integrated waveguide dual-band filters with closely spaced passbands and flexibly allocated bandwidths,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 8, no. 3, pp. 465–472, Mar. 2018. [30] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [31] J.-S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001, chs. 8–10. [32] S. Amari and U. Rosenberg, “Characteristics of cross (bypass) coupling through higher/lower order modes and their applications in elliptic filter design,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 10, pp. 3135–3141, Oct. 2005. [33] Y. Shi, K. Zhou, C. Zhou, and W. Wu, “Compact QMSIW quasielliptic filter based on a novel electric coupling structure,” Electron. Lett., vol. 53, no. 23, pp. 1528–1530, Nov. 2017.
Kang Zhou received the B.Eng. degree in electronic and information engineering from the Nanjing University of Science and Technology, Nanjing, China, in 2012, where he is currently pursuing the Ph.D. degree in electromagnetic field and microwave technology. He has authored or co-authored over 20 papers in international journal and conference proceedings. His current research interests include microwave and millimeter-wave circuits and systems and substrateintegrated waveguide passive components. Dr. Zhou is currently a Reviewer for five internationally renowned journals, including the IEEE T RANSACTIONS ON I NDUSTRIAL E LECTRONICS , IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS , and IET Microwaves, Antennas and Propagation.
Chun-Xia Zhou (M’14) was born in Jiangsu, China, in 1985. She received the B.S. and Ph.D. degrees in electronic engineering from Nankai University, Tianjin, China, in 2007 and 2012, respectively. From 2010 to 2012, she was with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore. From 2012 to 2013, she was a Research Fellow with the Terahertz Science and Technology Research Center, China Academy of Engineering Physics, Chengdu, China. She is currently a Lecturer with the School of Electronic and Optical Engineering, Nanjing University of Science and Technology, Nanjing, China. Her current research interests include the design and optimization of microwave and millimeter-wave components and integrated circuits in CMOS technology.
Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 1997. He is currently a Professor with the School of Electronic and Optical Engineering, Nanjing University of Science and Technology, Nanjing, where he is also an Associate Director with the Ministerial Key Laboratory of JGMT. He has authored or co-authored over 300 internationally referred journal and conference papers. He holds 14 patents. His current research interests include microwave and millimeter-wave theories and technologies, microwave and millimeter-wave detection, and multimode compound detection. Dr. Wu was a recipient of the Ministerial and Provincial-Level Science and Technology Award six times.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Analysis and Design of N-Path RF Bandstop Filters Using Walsh-Function-Based Sequence Mixing Abhishek Agrawal , Student Member, IEEE, and Arun Natarajan , Member, IEEE
Abstract— Impedance translation using passive N-path mixers has been used to achieve local oscillator (LO)-defined series bandstop and shunt bandpass filtering. In this paper, we generalize N-path filtering using a correlator-based approach and propose N-path passive mixers driven by orthogonal sequences. Such sequence-driven N-path filters can potentially reject or select signals based on their properties in the spectral, spatial, and code domains. Specifically, a Walsh-function (WF) sequence (WF-seq)based N-path shunt filter is implemented, demonstrating the feasibility of shunt bandstop filtering using LO sequences other than nonoverlapping pulses. The WF-seq approach enables reconfigurable concurrent filtering at two different frequencies with shunt operation avoiding penalties due to the switch drive overlap. A 65-nm CMOS implementation achieves 20-dB rejection at one frequency and 14-dB rejection concurrently at two frequencies between 0.3 and 1.4 GHz. The reconfigurable bandstop filter is implemented using analog gyrators and consumes 35–62.5 mW and achieves 2.9-dB noise figure and −2.5–5-dBm IIP3. Index Terms— Bandstop filter, carrier aggregation, complementary metal–oxide–semiconductor (CMOS), concurrent filter, notch, N-path filter, passive mixer, radio-frequency integrated circuit (RFIC), software radios, tunable filter, Walsh function (WF).
I. I NTRODUCTION
F
REQUENCY-TRANSLATED filtering using the impedance translation property of N-path passive mixers promises highly selective filtering around a tunable local oscillator (LO)-defined frequency [1]–[7]. N-path mixer-based tunable bandpass and bandstop filters driven by nonoverlapping clock pulses (NOPs) have been theoretically analyzed in [2], [3], and [5]. Integrated N-path filters provide tunable center frequency, high linearity, and higher order filtering, and high selectivity to mitigate large outof-band blockers [4], [8]–[11]. For example, combined bandstop and bandpass filtered approaches have demonstrated ∼10–13-dBm blocker tolerance, which is suitable for tunable surface acoustic waveless receiver applications [11]–[13]. N-path filters using NOP LO signals rely on minimal overlap between clock pulses driving the switches. Such an overlap causes charge sharing between the filter capacitors, which leads to performance degradation [5], [14], [15] and limits the
Manuscript received November 30, 2017; revised July 3, 2018; accepted July 22, 2018. This work was supported by DARPA under the ACT Program. (Corresponding author: Abhishek Agrawal.) The authors are with the School of Electrical Engineering and Computer Science, Oregon State University, Corvallis, OR 97331 USA (e-mail:
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2863302
ability to reject interferers concurrently at different frequencies by placing two filters in parallel. Moreover, the bandstop filter in [3] results in high input impedance in the stopband causing large voltage swing [12], which is undesirable for linear switch operation and reliability. In this paper, N-path filtering is generalized to approaches where N-path passive mixers are driven by orthogonal LO sequences, such as Walsh function (WF) sequences (WF-seqs), as opposed to NOP. Such LO-sequence-driven mixers can enable the use of N-path filters to reject/select signals based on a combination of their spatial, spectral, and code-domain properties [16]–[18]. This paper expands on the work in [16] and provides the theoretical basis for the design of WF-seqdriven mixers. This approach is used to demonstrate a WF-seqbased N-path filter that enables reconfigurable shunt bandstop filtering as opposed to the series approach in [3]. In this paper, WF-seq is applied to switching mixers (as opposed to NOP) for the following reasons. 1) WF-seq orthogonality is preserved regardless of the overlap between WF-seq, while NOP requires nonoverlapping clocks to maintain orthogonality (Section II). Therefore, WF-seq-based N-path bandstop filters can support the concurrent, reconfigurable rejection of multiple signals at different frequencies. 2) Unlike NOP, each correlator is always connected to the RF port with the WF-seq approach ensuring a current path for the baseband current. The theoretical analysis of WF-seq-based N-path filters using a signal space approach is presented in Section II. Its equivalence to the N-path passive mixer is also presented in detail. The feasibility of the proposed approach is demonstrated in a CMOS implementation achieving concurrent rejection of two interferers across 0.3–1.4 GHz. Section III describes the design and implementation of the dual-frequency bandstop filter and its measurement results are presented in Section IV. Furthermore, the above approach is extended to concurrent bandpass filters in Section V. II. N-PATH F ILTERING W ITH WF-seq M IXING A. N-Path Mixer as Correlators Fig. 1 illustrates an N-path bandstop filter with switches driven by sequences, ϕi (t). In the following, we assume ϕi (t) as a 4-path NOP pulses with period, T0 , without loss of generality. A sinusoidal blocker input incident at the antenna at the LO frequency, f0 = 1/T0 , creates a staircase approximation
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. Operation of an N-path bandstop filter with nonoverlapping pulse LO sequences can be viewed in terms of correlation of the input signal with orthogonal LO sequences.
of the input signal across the N-path capacitors, as shown in Fig. 1. A rigorous mathematical analysis of N-path passive mixers using transient signals [1] and linear periodically time variant (LPTV) state space analysis [2], [3] has been already described in literature. In the following, we present a correlator-based view of N-path filters. The steady-state voltage VC,i on the capacitors in an N-path filter Ci (Fig. 1) depends upon VRF (t) when the corresponding switch is enabled, since ϕi is high. For VRF (t) = A sin (2π f s t), an antenna impedance of RANT , and a switch impedance of RSW , assuming Ra C T0 where Ra = RANT + RSW + R L , the average capacitor current IC, j is zero once the capacitor has reached a steady-state voltage. The steady-state capacitor current, IC, j , and the RF voltage at V X can be written as t i=N 1 IC, j = VC,i (t)ϕi (t) ϕ j (t)dt = 0 (1) VRF (t) − Ra 0 i=0
where t Ra C is the integration time. Since the NOP functions are orthogonal [19], any two NOPs are related as T0 δi j 1 1/N, if i = j = ϕi (t)ϕ j (t)dt = (2) T0 0 N 0, if i = j. Using (2) in (1), the steady-state voltage across capacitors is
t
0 VRF (t)ϕ j (t)dt VC,i (t ) t i=0 VC, j (t ) 0 ϕi (t)ϕ j (t)dt
VC, j (t) = i=N
and for large integration duration, (3) converges to t VRF (t)ϕ j (t)dt VC, j (t) = 0 t . 0 ϕi (t)ϕi (t)dt
(3)
Fig. 2. Third-order WF-seq compared with typical N-path NOPs. Higher order WFs have more zero crossings within To .
the staircase approximation of the input signal (Fig. 1) with smaller IANT current through the load resistor R L . Hence, a bandstop response is observed for the voltages V X and VL . Equation (4) can also be interpreted as a computation of the correlation between VRF (t) and a basis function ϕ j (t) with the resultant output stored as VC, j . Thus, (4) can potentially be further extended to any set of orthogonal basis functions that satisfy (3). Therefore, each path in the N-path filter in Fig. 1 correlates the incident signal with the LO sequence ϕ j (t), and the result is stored as capacitor voltage. In Section II-B, we consider WFs that are an alternate set of orthogonal basis functions. Desirable properties of WFs for concurrent filtering in the context of N-path filters will also be discussed. B. Signal Representation Using Walsh Functions WFs, similar to Fourier transform, represent a complete and orthogonal basis set for representing signals [19]. WFs, as shown in Fig. 2, are restricted to ±1, making them compatible with digital implementations. The order of WF-seq can be increased by generating additional sequences with a higher number of zero crossings in the time period T0 [19]. Fig. 2 shows a third-order WF-seq along with the 8-phase NOP with the same period T0 . Similar to Fourier series, a periodic signal x(t) can be represented using WF coefficients, ci [19] and WFi (t) x(t) =
∞
ci WFi (t)
(5)
i=0
(4)
Therefore, the steady-state voltage across the capacitor is a correlation or dot product of the voltages VRF (t) and ϕ j (t)dt. It follows from (4) that if the frequency of NOP, f 0 = 1/T0 , is not equal to the signal frequency ( f s ), then the resultant dot product is zero. When f s = f 0 , (4) leads to
where WFi (t) represents the i th WF-seq. The WF coefficients are computed by ci = x(t)WFi (t)dt. (6) For the second-order WF, the WF coefficient ci for the sinusoidal signal at frequency f 0 and the correlation with the 4-phase NOP is shown in Fig. 3. For a zero-mean sinusoidal
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AGRAWAL AND NATARAJAN: ANALYSIS AND DESIGN OF N-PATH RF BANDSTOP FILTERS USING WF-BASED SEQUENCE MIXING
Fig. 3. Correlation of a sine wave with sin/cos, NOP, and WF basis functions.
signal, correlation with wal(0), sal(2) is zero (Figs. 2 and 3). Therefore, a second-order WF representation of the input sinusoidal signal requires the computation of two coefficients. Similarly, a third-order WF representation requires the computation of four coefficients related to sal(1), cal(2), sal(3), and cal(3). Fig. 4 plots the residue signal sres (t), which is defined as sres (t) = x(t) −
N
ci WFi (t).
(7)
i=0
Notably, sres (t) can be reduced by taking higher order terms from WF-seq (equivalent to the Gibbs approach for Fourier series). Using (7), the fundamental frequency component of sres (t) is given by N ci WFi (t) = x(t)(1 − α) (8) sres,fund (t) = fund x(t) −
3
Fig. 4. Residue signals for a sinusoid for the second-order and third-order WFs based on (7) demonstrating reduced residue power with the increasing WF-seq order.
An N-path filter is achieved by placing N such correlators in parallel. The antenna port is modeled as Norton-equivalent current source IANT with resistor RANT . An impedance R L represents the load, which can be the RX. The bandstop filter is in parallel with R L . Each correlator in the bandstop filter in Fig. 5 integrates the product of the voltage V X with the corresponding WF WFi on to the baseband inductors L BB . The resultant feedback output current IFILT,BB,i from parallel correlators is summed at node V X following upconversion. The baseband current on each inductor IFILT,BB,i can be determined by 1 t IFILT,BB,i (t) = V X (t)WFi (t)dt L 0 1 t IFILT,BB,i (t)WFi (t)RSW WFi (t)dt. − L 0 (9)
i=0
where α for√ the second-order and third-order WF-seq are 8/π 2 and 16(2 − 2)/π 2 , respectively. From (8), the residue signal sres,fund (t) is 14.4 and 24 dB lower in power than the input signal for the second-order and third-order WF, respectively, which are identical to the residue computed for 4-phase and 8-phase NOPs in [3]. Based on this analysis, the operation of a reconfigurable shunt WF-seq-based N-path bandstop filter is discussed in Section II-C. C. Walsh-Function-Based N-Path Filter An inductor-based WF-seq N-path filter is shown in Fig. 5. We propose to drive the mixers using WF-seq (shown in Fig. 2) and demonstrate how the bandstop operation is achieved. In the following, we refer to each set of switches and the baseband inductor as a correlator. At any time, the inductor at baseband L BB in each correlator is connected to the antenna port V X through two-series switches and the combined switch resistance is represented as RSW without any loss of generality.
If the WF-seq and the input signal are harmonically related in Fig. 5(a), the nonzero baseband current in each correlator from (9) is upconverted by the passive mixer leading to a staircase approximation for the input RF current. Therefore, the N-path filter presents a low impedance at the LO frequency and only a small residue current flows in the load R L leading to signal attenuation. If the frequency of the input signal and WF-seq are not harmonically related [Fig. 5(b)], the correlation leads to zero IFILT,BB,i . Hence, the filter presents a high impedance, resulting in the RF input current flowing to R L . Thus, the N-path filter exhibits the bandstop operation. Importantly, the shunt inductor-based filter correlates voltage and returns current, unlike a capacitor-based N-path filter that correlates the current and returns the baseband voltage. Additionally, unlike NOP LO signals, WF-seq ensures that there is a current path through the inductor at all times. Hence, it is not necessary to provide a switch that shorts the inductors to maintain current when no mixer is active. Moreover, voltage correlation makes the WF-seq bandstop
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 5. Proposed bandstop filter using WF-seq mixing and impedance translation of passive mixers. Filter response corresponds to the case when input signal is (a) harmonically related to and (b) not harmonically related to WF-seq running at frequency f 0 .
Fig. 6. Baseband equivalent circuit of bandstop filter including the impact of harmonic down and upconversion.
filter insensitive to overlap between the signals driving parallel bandstop filters. The equivalent RF model at the input shown in Fig. 6 captures the impact of the residue current using a series resistance Rser,h , which is equivalent to the harmonic shunt resistance used in NOP N-path filter models [1], [2]. Antenna impedance RANT and input impedance from receiver R L are combined and represented as an equivalent parallel resistor Ra . Note that similar to NOP bandstop filters, WF-seq N-path bandstop filters also exhibit rejection at harmonic frequencies. In the following, filter rejection and harmonic series resistance for the second-order and the second-order N-path WF-seq bandstop filters is summarized for a input signal represented as IANT = Acos((ω0 + ωIF )t + φ). A detailed derivation is presented in Appendix A. 1) Second-Order WF-Seq-Based Bandstop Filter: From the analysis in Appendix A, the baseband currents for the secondorder WF-seq can be computed as Ra 2 Asin(φ) π j ωIF L + RSW + Ra Ra 2 IBB,cal (ωIF ) = Acos(φ) π j ωIF L + RSW + Ra IBB,sal (ωIF ) = −
(10) (11)
Fig. 7. Effective impedance seen at node V X for third-order WF-seq filters.
and the RF input impedance at the antenna Z IN is given by
j ωIF L + RSW Z IN = Ra Rser,h + (12) α where α = 8/π 2 and Rser,h = (1 − α)/α Ra . 2) Third-Order WF-Seq-Based Bandstop Filter: Compared with a second-order WF-seq correlator, the third-order correlator has two additional correlators that operate with sal(3) and cal(3). The baseband currents in these correlators can be computed from (22) (shown in Appendix A) √ Ra 2( 2 − 1) A sin(φ) IBB,sal(3) (ωIF ) = − π j ωIF L + RSW + Ra (13) √ Ra 2( 2 − 1) IBB,cal(3) (ωIF ) = A cos(φ) π j ωIF L + RSW + Ra (14) √ where α = 16(2 − 2)/π 2 .
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AGRAWAL AND NATARAJAN: ANALYSIS AND DESIGN OF N-PATH RF BANDSTOP FILTERS USING WF-BASED SEQUENCE MIXING
5
Fig. 8. Proposed four-correlator bandstop filter can be reconfigured by changing WF-seq from the single frequency third-order filter to the dual-frequency second-order filter.
Fig. 10. Fig. 9. Implementing the correlator using (a) an active gyrator as the baseband inductor and (b) a modified active gyrator to reduce insertion loss.
Using additional correlators, the third-order provides better filtering for interferers than the second-order bandstop filter due to smaller Rser,h . For instance, with antenna port impedance, RANT = 50 and with the receiver input impedance, R L = 50 and Rser,h is 5.84 and 1.32 for the second-order and third-order WF-seq filters, respectively. Fig. 7 compares the simulated impedance at node V X (in Fig. 5) with theoretical predictions based from (33). The value of Ra , L BB , and RSW used for the simulation are 25 , 500 nH, and 3 , respectively. D. Concurrent Shunt Filtering Using WF-Seq N-Path Filters As described in Section II-C, the proposed filter functions are based on the following two principles: 1) the shunt inductor-based filter correlates voltage and returns current and 2) the orthogonality between WF-seq ensures zero interaction between correlators. Therefore, multiple WF-seq correlators can be placed in parallel without incurring any penalty other than an increase in switch parasitics as long as both the properties are satisfied. Similar to a Fourier series, any two WF-seq with different periodicities are orthogonal [19]. Therefore, the filter is insensitive to the overlap between WF-seq with different periodicities used as LO sequences for different
Schematic of the four-correlator reconfigurable bandstop filter.
paths. For example, a third-order correlator with WF-seq at frequency f 0 driving four correlators can be reconfigured as two second-order correlators with WF-seq at frequencies f0 and f1 driving two correlators each. This results in concurrent bandstop filtering at two different frequencies (Fig. 8). In Section III, a concurrent dual-frequency bandstop N-path filter implementation using such WF-seq LO signals is detailed. III. D UAL -F REQUENCY BANDSTOP F ILTER U SING WF-seq The bandstop filter described in Fig. 8 requires inductors that store the baseband current in each correlator. The baseband inductor can be replaced by an active gyrator, as shown in Fig. 9(a), if on-chip integration is desired. The effective baseband inductance of the gyrator is given by CL . (15) G M1 G M2 While the gyrator enables integration, the transconductances G M1 and G M2 introduce noise and nonlinearity. In particular, large transistors are used in the gyrator to reduce G M1 flicker noise. However, capacitances C P1 and C P2 [shown in Fig. 9(a)] associated with G M1 and G M2 , respectively, increase insertion loss, since they are charged and discharged L eff =
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
Fig. 11.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Circuit implementation of the transconductor G M1 used in the notch filter. The units are in μm.
through the series switches in each cycle. Therefore, the gyrator implementation in Fig. 9(b) is used with G M1 implemented at RF along with two sets of mixer switches. In this case, C P1 appears at the antenna node, and hence does not result in switching losses, although it can limit the RF bandwidth at the antenna port. Capacitor C P2 is still present in series with the mixer switches and results in insertion loss. However, in this design, the associated loss is 1 in the passband, this expression can be simplified to 2 2 = 4KTγ G VRF M2 (R L ||RANT ) .
(16)
Resistive source degeneration is employed in G M2 to reduce noise contribution. Assuming 50 impedance at the antenna and the receiver port, the noise figure (NF) degradation due to noise sources from active devices in gyrator is NF = 1 + γ G M2 RANT .
(17)
Losses due to finite-output conductivity of the transistor due to channel modulation and due to switching of parasitic
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AGRAWAL AND NATARAJAN: ANALYSIS AND DESIGN OF N-PATH RF BANDSTOP FILTERS USING WF-BASED SEQUENCE MIXING
Fig. 12.
7
Circuit implementation of the transconductor G M2 used in the notch filter. The units are in μm.
Fig. 14. CMOS.
Fig. 13. Shift register-based WF-seq generator output can be programmed by using the SPI interface.
capacitors also degrade NF and are considered in the design as described earlier. A. WF-Seq Generation Although the WF-seq can be generated using logical operations on NOP signals or multiclock phases, a shift-registerbased approach is adopted for design simplicity in this paper. As shown in Fig. 13, the shift registers can be programmed with a targeted sequence. The targeted sequence is further
Die photograph of the reconfigurable bandstop filter in the 65-nm
divided into even and odd streams and loaded in parallel on two 4-bit shift registers. The outputs from both the registers are then passed through a half-rate 2:1 serializer, which consists of a 2:1 multiplexer and a latch. The latch is used to align even data stream by delaying it by half LOm period. The two bit streams can be further aligned by introducing the relative delay between two registers in both the positive and negative directions using the delay line to delay LO driving these registers. The eight phases of WF-seq is generated by using LOm , which is running at four times the WF-seq frequency. This LOm can be selected from two external clock sources LO1 and LO2 using a 2:1 multiplexer
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 15. filter.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Test setup for characterizing the reconfigurable WF-seq bandstop Fig. 16. Bandwidth of the bandstop filter can be programmed by changing gyrator capacitance.
to enable both one-frequency and two-frequency bandstop filtering. Notably, the bandstop filter downconverts and upconverts the RF signal with the same LO. Therefore, the phase noise of the LO signal does not impact performance assuming a small delay between the WF-seq to the two mixers as also reported in [3]. Reconfigurability is supported by enabling independent LO to each pair of correlators. The bandstop filter can either be configured as a third-order bandstop WF-seq at f 0 or as two independent second-order WF-seq bandstop filters at f 0 and f 1 . Operation frequencies are limited by the insertion loss of the filter and by shift register topology used for WF-seq generation. The sequency generator consumes 20-mW power from 1.1-V supply to generate all WF-seq for four correlators operating at 1 GHz and its power consumption scales with the operating frequency. IV. M EASURED P ERFORMANCE The die photograph of the implemented filter is shown in Fig. 14. The IC occupies 0.48 mm2 area in a commercial 65-nm CMOS process. The programmable baseband capacitance occupies 0.1 mm2 for four correlators and can be varied from 0.1 to 16 pF per correlator. A gain-boosted N-path receiver [21] is also included to demonstrate the feasibility of the proposed approach to build receivers with the LO-defined receive frequency as well as the bandstop frequency. Fig. 15 illustrates the measurement setup used for S-parameter, noise, and linearity measurements. The transconductor G M1 is biased with 60-μA current during all measurements and this corresponds to 3.6-mA/V transconductance from simulation. Additionally, four unit cells of G M2 are enabled for all measurements, which corresponds to a transconductance of 2.8 mA/V. The capacitor C L ,i is set to 4.2 pF for all the measurements except for the bandwidth measurements in Figs. 16 and 17. A. S-Parameter Measurements The measured S-parameters of the filter configured as third-order WF-seq at a single frequency are shown
Fig. 17.
Bandwidth of the bandstop filter across capacitor settings.
in Fig. 18(a) and (b). As shown in Fig. 18(b), the input S21 shows a frequency tunable bandstop filtering with a stopband frequency defined by the period of the WF-seq, with the thirdorder bandstop filter achieving 20-dB attenuation. In the passband, measured S21 shows a insertion loss of 2–4 dB including 0.5–1.5-dB loss from PCB traces across 0.2–1.6-GHz frequency range. Thus, the parasitic capacitor shown in Fig. 9(b) causes 1.5–2.5-dB insertion loss across the frequency range. This is limited by the 65-nm CMOS technology and will improve in technologies that achieve smaller RON COFF product for switches. Corresponding S11 measurements are shown in Fig. 18(a), demonstrating the reflective impedance at the RF input at the stopband frequency. The four-correlator filter can be reconfigured as two second-order WF-seq with two different periods, operating in parallel. Fig. 18(c) and (d) shows the measured S-parameters of the filter configured as a dualfrequency bandstop filter where the two stopband frequencies are independently tunable between 0.3 and 1.4 GHz.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AGRAWAL AND NATARAJAN: ANALYSIS AND DESIGN OF N-PATH RF BANDSTOP FILTERS USING WF-BASED SEQUENCE MIXING
9
Fig. 18. (a) S11 and (b) S21 of the bandstop filter with the third-order WF-seq targeting stopband at one frequency. (c) S11 and (d) S21 for the second-order WF-seq targeting stopbands at two different frequencies, respectively.
The stopband bandwidth is determined by baseband C L ,i , G M1 , and G M2 . Fig. 16 shows the measured bandwidth across different capacitor settings for the third-order filter and compared with the corresponding simulated results demonstrating a 10-dB stopband bandwidth of ∼10 MHz is achievable using this approach. Fig. 17 shows the 12-dB attenuation bandwidth across various capacitor settings. It demonstrates that the bandwidth can be varied linearly with increasing capacitance.
difference between the blocker and the desired signal is as small as 50 MHz. NF is also measured at the gain-boosted receiver output, as shown in Fig. 20. The gain-boosted N-path receiver achieved 2.5-B NF with 43-dB gain in standalone measurement. Fig. 20 shows that the measured NF degradation is between 2.5 and 3 dB when the filter is configured in the dual-frequency second-order and third-order filters. C. Linearity Measurements
B. Noise Measurements The measured NF of the standalone bandstop filter configured as the third-order WF-seq is shown in Fig. 19. It demonstrates the out-of-band NF of 2.5–3.5 dB. At frequencies other than the bandstop frequency, the capacitor C L ,i acts as short, and thus, the noise is dominated from the G M2 transconductor. Lower G M2 reduces the out-of-band noise contribution but it degrades the filters’ linearity. At the bandstop frequency, the noise is dominated by G M1 and is of lesser concern, since the filter is supposed to attenuate any signal present at that frequency. Measurement shows that the filter can be used to attenuate the blocker signal with 2.9-dB NF if the frequency
Linearity of the bandstop filter is strongly dependent on the current canceling capability of G M2 . For instance, to cancel the 0-dBm blocker, the filter needs to have at least 12-mA current-driving capability. Hence, G M2 cell is made programmable and it achieved a measured IIP3 from −2.5 to +5 dBm (Fig. 21) in the dual-frequency stopband mode with frequency notches at 740 and 940 MHz. D. LO Leakage to RF Port The spurious LO power leakage to the antenna port is a concern in N-path receivers [4], [22] where emission occurs at harmonically related frequencies. The spurious leakage
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 19. NF of the standalone bandstop filter operating as the third-order filter at 600 MHz.
Fig. 21. Measured IIP3 with the bandstop filter configured for stopbands at two frequencies.
Fig. 22.
Fig. 20.
NF degradation due to the bandstop filter at the RX output. TABLE I S PURIOUS LO L EAKAGE TO A NTENNA P ORT
Current-driven bandpass filter based on correlation with WF-seq.
at the output due to coupling through traces on board and wire bonds. The key benefit of the proposed approach (compared to prior work in Table II) is the ability to form multiple notches at the RF input that are independently controlled. This paper also extends the N-path operation to sequence mixing demonstrating the feasibility of rejecting/selecting signals based on the sequences applied to N-path mixers. V. E XTENSION TO BANDPASS F ILTER U SING WF-S EQ
may desensitize nearby receivers operating in the same band. Measured LO spur leakage when the bandstop filter is enabled and disabled while operating at 503 and 700 MHz is shown in Table I and is below −60 dBm in the measurement. When the filter is disabled, spurs of ∼−57 dBm at four times the bandstop frequency (which is provided to the IC) are present
A bandpass filter can be designed by using the duality principle in electrical networks. The inductors are replaced with capacitors, parallel filters are replaced by series filters, and Norton equivalent of port (Fig. 5) is replaced by the Thevenin equivalent. Fig. 22 shows the third-order bandpass filter based on WF-seq implementation. Similar to the N-path filter, the incident RF current is multiplied by the WF and integrated on the capacitor and resultant capacitor voltages are summed in series to create a staircase approximation of VANT at the filter output VFILT . Similar to Fig. 8, the above
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AGRAWAL AND NATARAJAN: ANALYSIS AND DESIGN OF N-PATH RF BANDSTOP FILTERS USING WF-BASED SEQUENCE MIXING
11
TABLE II P ERFORMANCE S UMMARY AND C OMPARISON W ITH THE S TATE OF THE A RT
approach can be extended to achieve concurrent two frequency reception by using two second-order WFs. VI. C ONCLUSION Bandstop and bandpass filters using orthogonal WF are presented, which provides the impedance translational property similar to NOP-based N-path filters with added features, such as reconfigurability and insensitivity, to the clock overlap. A signal space approach is presented to explain the operation of a passive mixer, which is much simpler and intuitive than the LPTV approach. A 65-nm CMOS implementation achieving a reconfigurable third-order and dual-frequency second-order bandstop filter is described with the demonstration of frequency-tunable dual-frequency bandstop operation. An extension of the proposed approach to sequence-mixing bandpass filters is also outlined. Such sequence-mixing approaches can extend N-path filtering to combined spatial, spectral, and code-domain filtering in receivers. A PPENDIX A. Derivation of Input Impedance for Notch Filter-Based WF-Seq As discussed in Section II, the bandstop filter based on WF-seq can be designed with higher order sequence to improve the bandstop rejection of the interferer. Without loss of generality, a third-order bandstop filter is discussed in this Appendix and can be extended to any order filter by following the same steps used for third-order filter. The bandstop filter based on the third-order WF-seq can be analyzed using the simplified model shown in Fig. 23. Switches are driven by periodic WF-seq with time period T0 and are assumed to be ideal. The Norton equivalent of the antenna port consists of incident current source IANT and port resistor RANT . Furthermore, RANT and input impedance from the receiver R L are combined and represented as equivalent
Fig. 23.
Bandstop passive filter based on the third-order WF-seq.
parallel resistor Ra . Assuming that the time constant L i /Ra of baseband current IBB,i through inductor L i is relatively large compared with time period T0 , the current stays relatively constant over several RF cycles. Thus, the baseband current IBB,i and RF voltage Vx (t) are 1 t V X (t)WFi (t)dt IFILT,BB,i (t) = L 0 t 1 − IFILT,BB,i (t)WFi (t)RSW WFi (t)dt L 0 (18)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Vx at ω0 . Using (18) and (19), the fundamental component of voltage Vx,fund is given by Vx,fund(ω) = (IANT (ω) − IFILT,fund (ω))Ra
(27)
and
i=4 Ra ci (ω) 1 ∗ W Fi,fund (ω) IFILT,fund(ω) = 2π j ωL + RSW + Ra i=1
(28)
Fig. 24.
Equivalent model for the noise analysis of the notch filter.
⎛ V X (t) = ⎝ IANT (t) −
j =4
⎞ IFILT,BB, j (t)WF j (t)⎠ Ra
j =1
(19) where WFi are WFs and are sal(1), cal(1), sal(3), and cal(3) used for the third-order filter. Due to orthogonality of WF, the correlation between any two WFs is the Kronecker delta function and is T0 1 1, if i = j WFi (t)WF j (t)dt = δi j = (20) T0 0 0, if i = j. Using (18)–(20), the inductor current can be expressed as Ra t WFi (t)IANT (t)dt IBB,i (t) = L 0 RSW + Ra t − IFILT,BB,i (t)dt. (21) L 0 For the sinusoid input current source IANT = A cos((ω0 + ωIF )t + φ), the baseband current IBB,i (ω) can be computed in the frequency domain as IFILT,BB,i (ω) =
Ra ci (ω) j ωL + RSW + Ra
(22)
where ci is the WF coefficient and can be calculated using (6). Furthermore, ci can be represented in the frequency domain as
jφ e δ(ω + ωIF ) − j e− j φ δ(ω − ωIF ) c0 (ω) = −Ak0 (23) 2
jφ e δ(ω + ωIF ) + j e− j φ δ(ω − ωIF ) (24) c1 (ω) = Ak0 2
jφ e δ(ω + ωIF ) − j e− j φ δ(ω − ωIF ) c2 (ω) = −Ak1 (25) 2
jφ e δ(ω + ωIF ) + j e− j φ δ(ω − ωIF ) (26) c3 (ω) = Ak1 2 √ where k0 and k1 are 2/π and 2(2 − 2)/π, respectively. The impedance seen by the incident signal at the fundamental frequency ω0 = 2π f 0 is calculated by determining the voltage
where WF i,fund (t) is the fundamental component of WF-seq and are δ(ω + ω0 ) − j δ(ω − ω0 ) (29) WF0,fund (ω) = 2k0 2 δ(ω + ω0 ) + δ(ω − ω0 ) (30) WF1,fund(ω) = 2k0 2 δ(ω + ω0 ) − j δ(ω − ω0 ) WF2,fund (ω) = 2k1 (31) 2 δ(ω + ω0 ) + δ(ω − ω0 ) . (32) WF3,fund(ω) = 2k1 2 Hence, the impedance of the third-order filter seen at the antenna port, calculated by substituting (22) and (29)–(32) into (27), is √ Vx (ω0 +ωIF ) Ra 16(2− 2) = Ra 1− . IANT (ω0 +ωIF ) π2 j ωIF L + RSW + Ra (33) For the analysis of the second-order WF-seq-based bandstop filter, the same model can be used with two filters driven by sal(1) and cal(1). Therefore, the impedance of the secondorder filter is
Vx (ω0 + ωIF ) 8 Ra = Ra 1 − 2 . (34) IANT (ω0 + ωIF ) π j ωIF L + RSW + Ra B. Noise Analysis The notch filter implementation using G M1 and G M2 and their respective noise current sources are shown in Fig. 24. The transconductors in each correlator are assumed to be identical. Furthermore, the antenna port impedance RANT and the input impedance from the receiver R L are represented as the equivalent parallel resistor Ra . The voltage generated across the baseband capacitor C L can be expressed as t 1 VBB,i (t) = (G M1 VRF (t) + I N1,i (t))WFi (t)dt (35) CL 0 and the voltage at the antenna node is k=4 (−G M2 VBB,k (t) + I N2,k (t))WFk (t). (36) VRF (t) = Ra k=1
Substituting (36) into (35) and using the orthogonal property of the WF from (20), (35) can be given by −Ra t I N2,i (t) VBB,i (t) = (VBB,i (t) − )dt L G M2 0 t 1 + I N1,i (t)WFi (t)dt (37) CL 0
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AGRAWAL AND NATARAJAN: ANALYSIS AND DESIGN OF N-PATH RF BANDSTOP FILTERS USING WF-BASED SEQUENCE MIXING
VRF (ω) =
k=4 k=1
I N2,k (ω)
j ωL 1+
j ωL Ra
∗ WFk (ω) + G M2 Ra
k=4 k=1
where the equivalent inductance of the gyrator is represented as L = C L /G M1 G M2 . The above expression can be further simplified by taking Fourier transformation to compute VBB,i (ω) as VBB,i (ω) =
I N2,i (ω) 1 G M2 1 + j ωL Ra
j ωL t 1 Ra + F I N1,i (t)WFi (t)dt . (38) CL 0 1 + jRωL a
Using (36) and (38), the total noise due to the noise generated from both the transconductors is shown in (39) at the top of this page. The last term in (39) represents the noise folding effect of I N1,i due to multiplication with WF-seq. The flicker noise component of I N1,i is unconverted after multiplication with sal(1), cal(1), sal(3), and cal(3) and filtered out by the baseband capacitor. Therefore, the noise contribution at the antenna port due to flicker noise from G M1 is small. As the thermal noise generated at G M1 is white, multiplying it with WF-seq does not change its spectral characteristic. Thus, considering only thermal noise component from G M1 , (39) can be simplified to ⎛ ⎞ I (ω) k=4 j ωLIN2,k (ω) + N1,k G M1 ⎠ ⎝ VRF (ω) = ∗ WFk (ω). (40) j ωL 1 + k=1 Ra From (40), the thermal noise generated from G M1 is low-pass filtered and appears at the harmonic frequencies of WF-seq and the noise generated from G M2 is high-pass filtered and appears in the passband after convolution with WFk (ω). Similarly, the flicker noise generated at G M2 is high-pass filtered before upconversion and only appears at the harmonic frequencies of WF-seq at the antenna port. ACKNOWLEDGMENT The authors would like to thank Keysight, Radu Fetche, and Rohde & Schwarz for providing test equipment. The authors would also like to thank Dr. R. T. Olsson, Dr. B. Epstein (DARPA), and Dr. J. Rockway (SPAWAR) for valuable feedback. R EFERENCES [1] C. Andrews and A. C. Molnar, “Implications of passive mixer transparency for impedance matching and noise figure in passive mixer-first receivers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 12, pp. 3092–3103, Dec. 2010. [2] A. Ghaffari, E. A. M. Klumperink, M. C. M. Soer, and B. Nauta, “Tunable high-Q N-path band-pass filters: Modeling and verification,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 998–1010, May 2011. [3] A. Ghaffari, E. A. M. Klumperink, and B. Nauta, “Tunable N-path notch filters for blocker suppression: Modeling and verification,” IEEE J. Solid-State Circuits, vol. 48, no. 6, pp. 1370–1382, Jun. 2013.
j ωL Ra 1 + jRωL a
×F
1 CL
t
13
I N1,k (t)WFi (t)dt ∗ WFk (ω)
(39)
0
[4] M. Darvishi, R. van der Zee, E. A. Klumperink, and B. Nauta, “Widely tunable 4th order switched Gm -C band-pass filter based on N-path filters,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3105–3119, Dec. 2012. [5] A. Mirzaei, H. Darabi, J. C. Leete, and Y. Chang, “Analysis and optimization of direct-conversion receivers with 25% duty-cycle currentdriven passive mixers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 9, pp. 2353–2366, Sep. 2010. [6] H. Darabi, A. Mirzaei, and M. Mikhemar, “Highly integrated and tunable RF front ends for reconfigurable multiband transceivers: A tutorial,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 9, pp. 2038–2050, Sep. 2011. [7] E. A. M. Klumperink, H. J. Westerveld, and B. Nauta, “N-path filters and mixer-first receivers: A review,” in Proc. IEEE Custom Integr. Circuits Conf. (CICC), Apr./May 2017, pp. 1–8. [8] N. Reiskarimian and H. Krishnaswamy, “Design of all-passive higherorder CMOS N-path filters,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2015, pp. 83–86. [9] M. Darvishi, R. van der Zee, and B. Nauta, “Design of active N-path filters,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 2962–2976, Dec. 2013. [10] Y. Lien, E. Klumperink, B. Tenbroek, J. Strange, and B. Nauta, “A mixer-first receiver with enhanced selectivity by capacitive positive feedback achieving +39 dBm IIP3 and f 0 . Fig. 13 also shows that the phase imbalance always increases with shrinking the stubs. However, its absolute value and the corresponding effect are negligible compared with amplitude imbalance. The amplitude imbalance of the SQWL balun can be controlled and compensated by the bypass capacitances at the end of stubs. A simulated example for the SQWL balun of Fig. 8 with f 0 = 138 GHz is shown in Fig. 14, where a 1.6-pF capacitance at the end of stubs makes the amplitude imbalance at f 0 almost equal to 0. D. Layout Methodologies of 2-to-1 SQWL Balun The following points are required to be considered in the design of 2-to-1 SQWL baluns.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DANESHGAR AND BUCKWALTER: COMPACT SERIES POWER COMBINING USING SQWL BALUNS IN SiGe AT 120 GHz
Fig. 16.
7
4-to-1 series–parallel configuration of the SQWL balun.
5) If the IC technology does not provide more than two thick metal layers, the layout methodologies of Fig. 15(c) and (d) can be chosen in price of degradation of shielding the top metal. 6) The other solution to significantly increase the value of Z stub is to layout the SQWL balun in a ring configuration [29], where the two stubs share the same ground plane at their end points. Such configuration is similar to the layout methodology of Fig. 15(b), and however, the M2 stubs see the global ground plane mostly on one side. E. 4-to-1 Series–Parallel Configuration Fig. 15. Cross section and top view of different layout methodologies for the SQWL balun.
1) To improve the isolation between the top metal and ground plane, the top metal can be surrounded by a set of sidewalls, which are connected to the middle metal, as shown in Fig. 15(a). In other words, the M23 t-line is designed similar to a grounded-CPW structure, but with a large enough gap between the sidewalls and M3 to keep the microstrip-line operation of the M23 t-line while the sidewalls only shield the propagating wave through M3 . 2) The insertion loss of the SQWL balun is dominated by the loss of the t-line formed between M2 and M3 , suggesting that the most conductive metal layers are assigned for M2 and M3 . On the other hand, the characteristic impedance of M23 t-line, which is usually 50 , is determined by the vertical gap between M2 and M3 . If the gap is not large enough the 50- line on M3 will be narrow and lossy. 3) The global ground layer M1 should be thick enough, as the load and PA currents will pass through this layer at both ends of the stubs contributing to balun insertion loss and the global ground spreads the heat. Assigning a set of stacked thin metal layers to the ground mitigates this issue. 4) As discussed earlier, high Z stub enlarges the bandwidth and decreases the amplitude imbalance. Note that M2 usually needs to be a wide metal to provide enough shielding to M3 and also physically handle the sidewalls. Thus, Z stub has a low value if the vertical gap between M2 and M1 is small. In such situations, a hole in the ground plane under M2 , as shown in Fig. 15(b), increases Z stub.
Enlarging the power transistor size to achieve a 25- load-line impedance ends up with physically large transistors, which requires long lead connections to the balun ports. The loss of these leads deviates the load-line impedance from 25 . A solution is to halve the transistor size and drive the balun from two sides, as shown in Fig. 16, where a set of two power transistors with 50- load-line impedance are in parallel in two ports and also are in series with another identical set in the other two ports. IV. 4- TO -1 SQWL S ERIES C OMBINER A. Construction of 4-to-1 SQWL Balun The concept of series 2-to-1 power combining using SQWL baluns can be expanded to N-to-1 (N is even) series combining. The series 4-to-1 SQWL balun is presented in Fig. 17(a) and consists of two 2-to-1 SQWL baluns with an impedance of Z 0 /2 (left) and Z 0 (right) and a Z 0 /2 t-line between them. To cascade these baluns, first, the Z 0 /2 load from the output of the left balun is opened, and instead, the left end of Z 0 /2 t-line is attached to the balun as a load. Next, the series loop of the right balun is opened from its left input port. In order to see an impedance of Z 0 /2 from this point, the generator impedances are changed from Z 0 /2 to Z 0 /4. Thus, the right balun can be attached to the right end of the Z 0 /2 t-line with no mismatch. The result is the diagram of Fig. 17(b) where the power of four Z 0 /4 sources is combined in series using two t-lines of Z 0 /2 and Z 0 , while each source sees a parallel Z stub t-line attached to that. Finally, the three-metal layout of the 4-to-1 SQWL balun is represented in Fig. 17(c). In general, following the same procedure, the power from 2 × N sources can be combined in series and transferred to a Z 0 load using t-line sections of Z 0 /N, 2 Z 0 /N, . . . , (N − 1)Z 0 /N, and Z 0 .
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 17.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
(a) Construction, (b) simplified conceptual diagram, and (c) three-metal layout of the 4-to-1 SQWL balun.
Fig. 18. Magnitude of transmission coefficients of the 4-to-1 QWL balun with respect to normalized operating frequency for a range of Z ratio values.
B. Bandwidth and Port-to-Port Isolation Analysis of 4-to-1 QWL and SQWL Baluns Fig. 18 shows the magnitude of transmission coefficients of the 4-to-1 QWL balun with respect to the normalized operating frequency. First, the differential ports are always balanced (i.e., |S51 | = |S52 | and |S53 | = |S54 |). Second, the magnitude of transmission coefficients are equal at the center frequency, and third, the bandwidth of the balun increases by increasing Z ratio. Fig. 19 shows the normalized 3-dB bandwidth of transmission coefficients of the 4-to-1 SQWL balun with respect to reduced electrical length θ0 . The overall impact of Z ratio on the bandwidth of the balun is similar to the 2-to-1 SQWL balun except the drooping behavior due to ringing on the transmission coefficients. Thus, the design considerations are identical to the 2-to-1 SQWL balun.
Fig. 19. Normalized 3-dB bandwidth of transmission coefficients of the 4-to-1 SQWL balun with respect to its stub length.
The isolation coefficients of the 4-to-1 QWL balun are presented in Fig. 20 where at the center frequency, the isolation between the balanced ports is always −12 dB independent of the Z ratio value. The isolation between the balanced ports closer to the output (i.e., P3 and P4 ) improves at other frequencies, whereas it gets degraded on P1 and P2 . Fig. 21 shows the impact of shrinking the balun size on its port-toport isolation at the center frequency f 0 . It can be seen that the isolation at center frequency between ports P3 and P4 maintains the −12-dB value independent of balun electrical length and Z ratio , whereas it gets degraded as low as −2.5 dB at a stub electrical length of ∼45◦ . Therefore, careful stability simulations are required. Simulation results with the lossy t-lines model are also included in the results of Figs. 20 and 21,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DANESHGAR AND BUCKWALTER: COMPACT SERIES POWER COMBINING USING SQWL BALUNS IN SiGe AT 120 GHz
9
2) The amplitude imbalance between the differential ports is zero at center frequency and is within an acceptable range in vicinity of that while it can be improved by increasing Z ratio. 3) The amplitude imbalance due to the imperfect isolation of top metal can be improved by proper choosing of bypass capacitance at the end of stubs. D. 8-to-1 Series–Parallel Configuration The 4-to-1 series SQWL balun can also be reconfigured as an 8-to-1 series–parallel balun, as shown in Fig. 22. The required optimum load-line impedance for power transistor cells in this configuration is also Z 0 /2. Fig. 20. Magnitude of isolation coefficients of the 4-to-1 QWL balun with respect to normalized operating frequency for a range of Z ratio values.
Fig. 21. Isolation of the balanced ports of a 4-to-1 SQWL balun simulated using lossless and lossy t-lines models with respect to shrunk electrical length of the balun.
which verify the accuracy of predictions using the lossless t-lines model.
C. Design Considerations for Port Imbalance Analyzing the port imbalance due to imperfect isolation of top metal in the 4-to-1 SQWL balun is not straightforward since the t-line sections (Z 0 and Z 0 /2) are not identical and have different parasitic impedances Z p . Another type of amplitude and phase imbalance also initially exists between the two sets of differential ports of the balun. The amplitude imbalance is clearly observed in Fig. 18. The phase imbalance is generated due to the frequency-dependent delay corresponding to an electrical length of 2θ between two sets of differential ports. In other words, these ports are not operating in phase. The important design considerations are as follows. 1) The amplitude and phase imbalance between the differential ports is less of a concern compared with the imbalance due to imperfect isolation of top metal, as it can be compensated using a flipped version of 4-to-1 combiner in the input of circuit as a 4-to-1 splitter.
V. C OMPARISON TO C OMPENSATED M ARCHAND BALUN Fig. 23 shows the simplified lossless t-line-based circuit diagram of compensated Marchand balun, where in contrast to the uncompensated QWL balun of Fig. 3, an open t-line is added on top of the shorted stub to compensate the port imbalance. The conceptual analysis and the design methodology of this balun is explicitly discussed in [41]–[44]. The transmission coefficients are plotted for both baluns in Fig. 24 for practical values of Z ratio . The 3-dB bandwidth of the uncompensated QWL balun is always higher than the bandwidth of the compensated Marchand balun. The worst isolation between the balanced ports is −6 dB and always occurs at the center frequency of both baluns. However, the port imbalance in Marchand balun is better due to its fully symmetrical structure. Nonetheless, the compensated Marchand balun is at a disadvantage since it cannot be simplified to a series combiner using a matched t-line at the ports [see Fig. 2(c)]. Therefore, shrinking the size of the Marchand balun sacrifices matching to the load impedance. Moreover, the input port reactance in a scaled Marchand balun is not always inductive, and depending on the size of the shorted stub, it exhibits capacitive behavior, restricting the use for PA applications. Recent work [45]–[48] has reduced the size of the Marchand balun with matching networks that introduce loss. If the SQWL balun compensates port imbalance, it will offer much lower loss and occupy less area compared with the Marchand balun [49]. VI. T WO -S TAGE PA A RCHITECTURE AND D ESIGN In order to maximize the output power and reach the desired optimum load-line impedance, series connection of HBTs (stacking) has been employed. Unlike the cascode HBT architecture in which the common base transistor with a grounded base has the most contribution on the generation of output power, in the stacked HBT, a finite impedance is incorporated into the base of top transistor, and both transistors contribute equally on the generation of output power. The design methodology of stacked FETs and HBTs are explicitly discussed in [4] and [50], respectively. Efficient series combining in the stacked HBT requires proper aligning of the phase of the collector voltages and is particularly challenging above 100 GHz. Three techniques have been previously proposed to compensate device parasitics
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 22.
8-to-1 series–parallel configuration of the SQWL balun.
Fig. 23.
Schematic of a compensated QWL Marchand balun.
Fig. 25. (a) Placement of four 10 × 0.1 μm 2 HBTs with (b) top view of the corresponding common emitter layout whose metallization structure is similar to [51, Fig. 1].
Fig. 24. Comparison of transmission coefficients of Marchand (compensated) balun shown in Fig. 23 with QWL (uncompensated) balun of Fig. 3.
through reactive tuning elements [4]. Above 100 GHz, these techniques are ineffective since the associated loss of the passive tuning elements outweighs the added output power, and these tuning elements reduce the bandwidth of the circuit. Instead, the parallel interconnection of HBTs produces the appropriate impedance matching between the common emitter and common base HBTs. More output power is achieved by parallel HBTs, while the optimum load-line impedance of the stacked HBT is reduced to 25 , which is suitable for the 8-way power combiner. HBTs could generally be used in two configurations: 1) HBTs with single collector and base contacts in CBE configuration, which have a compact footprint, but with lower f max and 2) HBTs with dual collector and base contacts in CBEBC configuration with higher f max . Due to large
footprint of CBEBC HBTs, their parallel connection in a tree-shaped form significantly degrades f max of the resulting combined cell. Moreover, their narrow emitter stripe, which is surrounded by two bases, needs to be connected to ground with minimum inductance. Thus, HBTs with CBE configuration are chosen for the design. The physical distance between the differential ports of the balun is around 20 ∼ 30 μm, which imposes a compact layout for the power transistor cells. Fig. 25(a) shows a compact placement of four 10 × 0.1 μm2 CBE HBTs by overlapping their deep trenches where the narrow emitter stripes are directly connected to ground with no extra inductance. Wide collector option with maximum number of metal contacts is also chosen for these HBTs. The common emitter layout of Fig. 25 is EM simulated, and its S-parameters block is attached to the schematic model of four parallel HBTs. The maximum available gain (MAG) is then extracted at 130 GHz with respect to VCE and IC , as shown in Fig. 26. The maximum gain of ∼3.9 dB occurs at a current density of 1.8 ∼ 2 mA/μm and corresponds to f max of 220 GHz, only 1 dB lower than the gain before layout. An optimum class-A loadline impedance of ∼14 is also shown in Fig. 26. The low values of MAG and load-line impedance verify that another series-connected (stacked) HBT is required to boost the gain and increase the load line to 25 .
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DANESHGAR AND BUCKWALTER: COMPACT SERIES POWER COMBINING USING SQWL BALUNS IN SiGe AT 120 GHz
Fig. 28.
Fig. 26. Simulated postlayout MAG surface of four 10 × 0.1 μm 2 parallel HBT transistors shown in Fig. 25 with respect to VCE and IC in a common emitter configuration.
Fig. 27. (a) Placement of four 7.5 × 0.1 μm 2 HBTs with (b) top view of the corresponding common base layout whose metallization structure is similar to [51, Fig. 1].
While HBTs in the common base layout need low-resistance metal connections for their emitters and collectors, the inductance to the base capacitance degrades the gain and causes instability. All parallel bases should see identical base capacitance, imposing symmetrical placement of these base capacitances. Fig. 27 shows the HBT placement as well as the compact layout of four 7.5 × 0.1 μm2 parallel HBTs for the common base stage. Despite the low Q factor of finger capacitances in bottom metal layers, they are preferred for base capacitances compared with high-Q MiM capacitances
11
Schematic of the stacked HBT test chip.
between top metal layers, as they could connect to the base with minimum wiring inductance. Unlike the common emitter layout, the emitter and collector in the common base layout are not receiving in-phase signals. The collector current reaches sooner to the two right-hand side HBTs than the left ones. However, the reverse phenomenon happens for the emitters and could mostly compensate this phase mismatch if the metallization and size of emitter and collector wirings are identical. The effect of this phase mismatch on the performance is negligible if the HBTs emitter length is not long. The resulting stacked HBT cell is shown in Fig. 28 with only a shunt stub interstage matching network and no other input or output matching networks. The nominal collector current is set to be 45 mA, which biases the four parallel 7.5×0.1 μm2 common base HBTs close to a class-A operation region and the four parallel 10 × 0.1 μm2 common emitter HBTs in a class-AB operation region. Large-signal simulations at 130 GHz show that the stacked HBT cell can produce 17-dBm output power with a 25- load if its 70-fF output capacitance is fully resonated with a lossless inductor and its input is matched to 50 by a lossless matching network. The 8-to-1 series–parallel balun of Fig. 22 is chosen as the power combiner to transform a 50- load to a 25- load-line impedance at its differential input ports. The two-stage PA architecture is shown in Fig. 29, which is symmetric with respect to x-axis. The RF input signal is first split into four ways (two sets of differential signals) using a 50- 4-to-1 series–parallel SQWL balun presented in Fig. 16. Each set of differential signals is combined using two identical 50- 2-to-1 series SQWL baluns at the top and bottom halves of the first stage. Next, each single-ended amplified signal is split between four stacked HBT cells at the top and bottom halves of the second stage using two 50- 4-to-1 series SQWL baluns. Finally, the output power of eight stacked HBT cells are combined using a 50- 8-to-1 series–parallel SQWL balun presented in Fig. 22. This two-stage architecture has several benefits. First, it is symmetrical for extremely compact size. Second, the ratio of stacked HBT cells in the first and second stages is 4 to 8 and consequently prevents overdriving the second stage. Third, all the power combiners in both stages provide a 25- load-line impedance, which simplifies the design by requiring identical stacked HBT cells for both stages.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
Fig. 29.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Simplified schematic of the two-stage PA.
The balun design starts first with the 50- 2-to-1 series combiner in the first stage. The 50- t-line with shielding sidewalls is implemented between the most top two metal layers (LD and OL). Five stacked metal layers (M1 to M4 and M1−2B ) with a total thickness of 1.4 μm are assigned to the balun ground as well as the global ground of the chip, which covers the whole chip area. Shorted stub t-lines Z stub = 25 are created between 30-μm-wide and 33◦ long OL polygons and the ground plane in order to resonate with 70-fF output capacitance of the stacked HBT cells. These stubs are shorted to ground using high-density MiM capacitances. A 3-D view of this three-port balun with two additional ports for collector dc bias is shown in Fig. 30(a). EM simulations show that adding shielding sidewalls to the top metal increases Z p from 580 to 1080 . This consequently decreases the amplitude imbalance in vicinity of center frequency to less than 0.4 dB. To test the performance of the 2-to-1 series combiner, two flipped and back-to-back baluns are included in a test chip shown in Fig. 30(b) where two 2 × 70 fF shunt capacitances to ground are connected to the balun ports to behave as the output capacitance of the HBT cells. The 50- 8-to-1 series–parallel combiner in the second stage is shown in Fig. 31(a). The total capacitance due to stacked HBT cells at the end of shorted stubs is now doubled. This significantly decreases the required length of shorted stubs to less than 22◦ while Z stub is still 25 . To characterize the 8-to-1 balun loss, the top four input ports are replaced with an equivalent back-to-back structure with the resulting 4-to-1 balun, as shown in Fig. 31(b). Note that the loss of an 8-to-1 balun is identical to the loss of each of these 4-to-1 baluns.
Fig. 30. (a) 3-D view as well as the cross section of the 2-to-1 SQWL balun. (b) Two back-to-back 2-to-1 SQWL baluns with the corresponding shunt capacitances to the ground between them.
VII. M EASUREMENT R ESULTS A. Small-Signal S-Parameters Measurements The simulated and measured S21 results of the stacked HBT cell in Fig. 28 are compared in Fig. 32 for both low
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DANESHGAR AND BUCKWALTER: COMPACT SERIES POWER COMBINING USING SQWL BALUNS IN SiGe AT 120 GHz
13
Fig. 33. Simulated and measured S21 of the two back-to-back 2-to-1 SQWL baluns shown in Fig. 30(b).
Fig. 31. (a) 3-D view of the 4-to-1 SQWL balun with the cross section of its 25- t-line; (b) Two back-to-back 4-to-1 SQWL baluns with the corresponding shunt capacitances to the ground between them.
Fig. 34. Simulated and measured S21 of the two back-to-back 4-to-1 SQWL baluns shown in Fig. 31(b).
Fig. 32. Simulated and measured S21 results of the stacked HBT cell at low and nominal bias currents.
and nominal bias currents where excellent agreement between them verifies the validity of the transistor models and their EM-simulated layout models in small-signal regime. The simulated and measured S21 results of the back-to-back 2-to-1 baluns of Fig. 30(b) are presented in Fig. 33. RF pads are deembedded from measured results by TRL calibration using on-wafer calibration structures. Note that both simulated and measured results include the loss of the capacitances between the baluns. The minimum measured loss is 1.4 dB at 140 GHz, which corresponds to the 0.7-dB loss for each 2-to-1 balun with 70-fF capacitances attached to its ports. Fig. 34 shows the EM-simulated and measured S21 results of the backto-back 4-to-1 baluns of Fig. 31(b). The minimum measured loss is 2.5 dB at 139 GHz, which corresponds to 1.25-dB loss
Fig. 35.
Die photograph of the two-stage PA (0.79 × 0.79 mm2 )
for each 4-to-1 balun and consequently predicts identical loss for an 8-to-1 balun. The difference between the simulated and measured loss of back-to-back 2-to-1 and 4-to-1 baluns are 0.4 and 0.9 dB, respectively, which we deduced from simulation is due to the quality factor of bypass MiM capacitors at the end of shorted stubs and is not captured by the EM layer-stack model. The IC micrograph of the PA chip with a total area of 0.62 mm2 is shown in Fig. 35. At nominal bias point,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I C OMPARISON TO S IMILAR D-BAND PAs R EPORTED IN THE L ITERATURE
Fig. 36.
Simulated and measured S-parameters of the two-stage PA.
it consumes 175 mA (stage 1) and 350 mA (stage 2) from a 3.7-V supply. The simulated and measured S-parameters of the two-stage PA at its nominal bias point are compared in Fig. 36. The measured S21 gain has a peak value of 7.7 dB at 123 GHz with a 3-dB bandwidth of 107–142 GHz. The measured S-parameters are in good agreement with the simulations in terms of center frequency. However, there is a 4 ∼ 4.5 dB drop in the S21 gain, which is mainly due to the higher loss of MiM caps used in the RF signal path and baluns. B. Large-Signal Power Measurements The input power for the large-signal measurements is generated using VDI-AMC-332 (110–126 GHz) and VDI-AMC-333
Fig. 37. Measured output power, PAE, and gain of the two-stage PA at 120 GHz.
(128–140 GHz) multiplier chains, while the output power is measured using a PM4 Erickson power sensor. The dc bias current of the first stage has been increased to 240 mA for all power measurements in order to increase the output power of driver (first) stage and compensate the excess balun loss between two stages. The output power, PAE, and gain performance of the PA at a sample point of 120 GHz are presented in Fig. 37, where the PA produces a peak output power of 22 dBm with a corresponding peak PAE of 3.6%. The output power performance of the PA over the frequency range of 110–140 GHz is presented in Fig. 38. Due to the relatively low PA gain, the amount of power generated by multiplier chains was not enough to saturate the PA, especially
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DANESHGAR AND BUCKWALTER: COMPACT SERIES POWER COMBINING USING SQWL BALUNS IN SiGe AT 120 GHz
Fig. 38. Measured output power over the frequency range of 110–140 GHz for input power levels of 14.6, 16.6, and 17.6 dBm and maximum available input power from multiplier source (Pavs-max ).
for the frequency band of 128–140 GHz. Thus, in order to better evaluate the power performance of the PA, the output power is shown for a variety of constant available input power levels as well as the maximum available multiplier source power Pavs−max . Considering a peak output power of 22 dBm, the 1-and 2-dB power bandwidths of the PA are extracted as 112–136 and 114–130 GHz, respectively. Table I compares the performance of the presented two-stage PA with the similar state-of-the-art D-band PAs. To the best of our knowledge, this is the highest output power for a Si/SiGe BiCMOS process reported in D-band to date. VIII. C ONCLUSION A SiGe, D-band PA IC has been designed and fabricated in a 90-nm SiGe HBT technology and takes advantage of two series power combining techniques which are, for the first time, demonstrated in a silicon process above 100 GHz. The output power of the transistor is first maximized by direct series connection (stacking) of HBTs, and second, the power is combined using an 8:1 SQWL baluns, which is an efficient and compact series power combining technique at mm-wave frequencies. The reported PA occupies only 0.6 mm2 of die area, while it achieves a record peak output power of 22 dBm at 120 GHz as well as a record 254-mW/mm2 output power per unit die area in a silicon technology among the reported similar PAs in the literature. ACKNOWLEDGMENT The authors would like to thank the DARPA ELASTx Program (Dr. D. Palmer) and the U.S. Army Research Office (Dr. J. Harvey) for support. The authors also would like to thank Prof. G. M. Rebeiz for providing D-band measurement equipment and integrand for the EMX simulation software. R EFERENCES [1] J.-M. Rollin, D. Miller, M. Urteaga, Z. M. Griffith, and H. Kazemi, “A polystrata 820 mW G-band solid state power amplifier,” in Proc. IEEE CSICS, Oct. 2015, pp. 1–4.
15
[2] M. Rodwell, S. Jaganathan, and S. T. Allen, “Series-connected microwave power amplifiers with voltage feedback and method of operation for the same,” U.S. Patent 5 945 879, Aug. 31, 1999. [3] J. Kim, H. Dabag, P. Asbeck, and J. F. Buckwalter, “Q-band and W -band power amplifiers in 45-nm CMOS SOI,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1870–1877, Jun. 2012. [4] H.-T. Dabag, B. Hanafi, F. Golcuk, A. Agah, J. F. Buckwalter, and P. M. Asbeck, “Analysis and design of stacked-FET millimeter-wave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1543–1556, Apr. 2013. [5] S. Shopov, A. Balteanu, and S. P. Voinigescu, “A 19 dBm, 15 Gbaud, 9 bit SOI CMOS power-DAC cell for high-order QAM W-band transmitters,” IEEE J. Solid-State Circuits, vol. 49, no. 7, pp. 1653–1664, Jul. 2014. [6] J. Jayamon et al., “Spatially power-combined W-band power amplifier using stacked CMOS,” in IEEE RFIC Symp. Dig., Jun. 2014, pp. 151–154. [7] A. Agah, J. A. Jayamon, P. M. Asbeck, L. E. Larson, and J. F. Buckwalter, “Multi-drive stacked-FET power amplifiers at 90 GHz in 45 nm SOI CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 5, pp. 1148–1157, May 2014. [8] E. Afshari, H. Bhat, X. Li, and A. Hajimini, “Electrical funnel: A broadband signal combining method,” in IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2006, pp. 751–760. [9] A. M. Niknejad, M. Bohsali, E. Adabi, and B. Heydari, “Integrated circuit transmission-line transformer power combiner for millimetrewave applications,” Electron. Lett., vol. 43, no. 5, pp. 47–48, Mar. 2007. [10] U. R. Pfeiffer and D. Goren, “A 23-dBm 60-GHz distributed active transformer in a silicon process technology,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 5, pp. 857–865, May 2007. [11] Y.-N. Jen, J.-H. Tsai, T.-W. Huang, and H. Wang, “Design and analysis of a 55–71-GHz compact and broadband distributed active transformer power amplifier in 90-nm CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1637–1646, Jul. 2009. [12] K.-Y. Wang, T.-Y. Chang, and C.-K. Wang, “A 1 V 19.3dBm 79 GHz power amplifier in 65 nm CMOS,” in IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2012, pp. 260–262. [13] A. M. Niknejad, D. Chowdhury, and J. Chen, “Design of CMOS power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1784–1796, Jun. 2012. [14] Y. Zhao and J. R. Long, “A wideband, dual-path, millimeter-wave power amplifier with 20 dBm output power and PAE above 15% in 130 nm SiGe-BiCMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 1981–1997, Sep. 2012. [15] W. Tai, L. R. Carley, and D. S. Ricketts, “A 0.7 W fully integrated 42 GHz power amplifier with 10% PAE in 0.13 μm SiGe BiCMOS,” in IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2013, pp. 142–144. [16] M. Thian, M. Tiebout, N. B. Buchanan, V. F. Fusco, and F. Dielacher, “A 76–84 GHz SiGe power amplifier array employing low-loss fourway differential combining transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 931–938, Feb. 2013. [17] F. Shirinfar, M. Nariman, T. Sowlati, M. Rofougaran, R. Rofougaran, and S. Pamarti, “A fully integrated 22.6 dBm mm-wave PA in 40 nm CMOS,” in Proc. IEEE RFIC Symp., Jun. 2013, pp. 279–282. [18] J. Oh, B. Ku, and S. Hong, “A 77-GHz CMOS power amplifier with a parallel power combiner based on transmission-line transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2662–2669, Jul. 2013. [19] K.-L. Wu, K.-T. Lai, R. Hu, C. F. Jou, D.-C. Niu, and Y.-S. Shiao, “77–110 GHz 65-nm CMOS power amplifier design,” IEEE Trans. THz Sci. Technol., vol. 4, no. 3, pp. 391–399, May 2014. [20] H.-C. Lin and G. M. Rebeiz, “A 110–134-GHz SiGe amplifier with peak output power of 100–120 mW,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 2990–3000, Dec. 2014. [21] K. Datta and H. Hashemi, “A 29 dBm 18.5% peak PAE mm-wave digital power amplifier with dynamic load modulation,” in IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2015, pp. 46–48. [22] R. Bhat, A. Chakrabarti, and H. Krishnaswamy, “Large-scale power combining and mixed-signal linearizing architectures for watt-class mmWave CMOS power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 703–718, Feb. 2015. [23] D. Zhao and P. Reynaert, “A 40-nm CMOS E-band 4-way power amplifier with neutralized bootstrapped cascode amplifier and optimum passive circuits,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4083–4089, Dec. 2015.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16
[24] M. Micovic et al., “92–96 GHz GaN power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig. Jun. 2012, pp. 1–3. [25] Z. Griffith, M. Urteaga, P. Rowell, and R. Pierson, “A 6–10 mW power amplifier at 290–307.5 GHz in 250 nm InP HBT,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 9, pp. 597–599, Sep. 2015. [26] Z. Wang, P.-Y. Chiang, P. Nazari, C.-C. Wang, Z. Chen, and P. Heydari, “A CMOS 210-GHz fundamental transceiver with OOK modulation,” IEEE J. Solid-State Circuits, vol. 49, no. 3, pp. 564–580, Mar. 2014. [27] K. Katayama et al., “A 300 GHz 40 nm CMOS transmitter with 32-QAM 17.5 Gb/s/ch capability over 6 channels,” in IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2016, pp. 342–343. [28] H.-C. Park et al., “30% PAE W-band InP power amplifiers using subquarter-wavelength baluns for series-connected power-combining,” in Proc. IEEE CSICS, Oct. 2013, pp. 1–4. [29] S. Daneshgar et al., “High efficiency W-band power amplifiers using ring-shaped sub-quarter-wavelength power combining technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [30] H.-C. Park et al., “An 81 GHz, 470 mW, 1.1 mm2 InP HBT power amplifier with 4:1 series power combining using sub-quarter-wavelength baluns,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [31] H.-C. Park, S. Daneshgar, Z. Griffith, M. Urteaga, B.-S. Kim, and M. Rodwell, “Millimeter-wave series power combining using subquarter-wavelength baluns,” IEEE J. Solid-State Circuits, vol. 49, no. 10, pp. 2089–2102, Oct. 2014. [32] S. Daneshgar and J. F. Buckwalter, “A 22 dBm, 0.6 mm2 D-band SiGe HBT power amplifier using series power combining sub-quarterwavelength baluns,” in Proc. IEEE CSICS, Oct. 2015, pp. 1–4. [33] W. L. Stutzman and G. A. Thiele, Antenna Theory and Design, 3rd ed. New York, NY, USA: Wiley, 2012. [34] R. A. Chipman, Schaum’s Outline Series: Theory and Problems of Transmission Lines. New York, NY, USA: McGraw-Hill, 1968. [35] N. Marchand, “Transmission-line conversion transformers,” Electronics, vol. 17, pp. 142–145, Dec. 1944. [36] G. Oltman, “The compensated balun,” IEEE Trans. Microw. Theory Techn., vol. MTT-14, no. 3, pp. 112–119, Mar. 1966. [37] J. Scherer and J. Koerner, “Broadband balun,” U.S. Patent 3 784 933, Jan. 8, 1974. [38] G. Badger, “New class of coaxial-line transformers,” Ham Radio II, vol. 13, pp. 18–29, Mar. 1980. [39] NXP Semiconductor, “Three balun designs for push– pull amplifiers,” Freescale Semicond., Phoenix, AZ, USA, Appl. Note AN1034, 1993. [Online]. Available: http://www.nxp.com/ files/rf_if/doc/app_note/AN1034.pdf [40] J. Horn and G. Boeck, “Ultra wideband balun for power applications,” in Proc. 34th Eur. Microw. Conf., Oct. 2004, pp. 369–371. [41] T. Canning, J. R. Powell, and S. C. Cripps, “Optimal design of broadband microwave baluns using single-layer planar circuit technology,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1183–1191, May 2014. [42] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 2, pp. 402–406, Feb. 2001. [43] C.-S. Lin et al., “Analysis of multiconductor coupled-line marchand baluns for miniature MMIC design,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [44] H.-R. Ahn and S. Nam, “New design formulas for impedancetransforming 3-dB Marchand baluns,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2816–2823, Nov. 2011. [45] C.-H. Lien, C.-H. Wang, C.-S. Lin, P.-S. Wu, K.-Y. Lin, and H. Wang, “Analysis and design of reduced-size marchand rat-race hybrid for millimeter-wave compact balanced mixers in 130-nm CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 8, pp. 1966–1977, Aug. 2009. [46] A. C. Chen, A.-V. Pham, and R. E. Leoni, III, “A novel broadband evenmode matching network for Marchand baluns,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 2973–2980, Dec. 2009. [47] L. Xu, H. Sjoland, M. Tormanen, T. Tired, T. Pan, and X. Bai, “A miniaturized Marchand balun in CMOS with improved balance for millimeter-wave applications,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 1, pp. 53–55, Jan. 2014. [48] H. Jia, B. Chi, L. Kuang, and Z. Wang, “A W-band power amplifier utilizing a miniaturized Marchand balun combiner,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 719–725, Feb. 2015. [49] I. Song, R. L. Schmid, D. C. Howard, S. Jung, and J. D. Cressler, “A 34–110 GHz wideband, asymmetric, broadside-coupled Marchand balun in 180 nm SiGe BiCMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa, FL, USA, Jun. 2014, pp. 1–4.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[50] K. Datta and H. Hashemi, “Performance limits, design and implementation of mm-wave SiGe HBT class-E and stacked class-E power amplifiers,” IEEE J. Solid-State Circuits, vol. 49, no. 10, pp. 2150–2171, Oct. 2014. [51] Z. Griffith, M. Urteaga, P. Rowell, R. Pierson, and M. Field, “Multifinger 250 nm InP HBTs for 220 GHz mm-wave power,” in Proc. Int. Conf. Indium Phosphide Rel. Mater. (IPRM), Aug. 2012, pp. 204–207. [52] I. Kallfass et al., “A 144 GHz power amplifier MMIC with 11 dBm output power, 10 dB associated gain and 10% power-added efficiency,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 429–432. [53] M. Seo et al., “A 1.1 V 150 GHz amplifier with 8 dB gain and +6dBm saturated output power in standard digital 65 nm CMOS using dummy-prefilled microstrip lines,” in IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2009, pp. 484–485. [54] Z. Xu, Q. J. Gu, and M.-C. F. Chang, “A three stage, fully differential 128–157 GHz CMOS amplifier with wide band matching,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 10, pp. 550–552, Oct. 2011. [55] K. Katayama, M. Motoyoshi, K. Takano, L. C. Yang, and M. Fujishima, “133 GHz CMOS power amplifier with 16 dB gain and +8 dBm saturated output power for multi-gigabit communication,” in Proc. IEEE EuMIC, Jun. 2013, pp. 287–290. [56] Y.-H. Hsiao, Z.-M. Tsai, H.-C. Liao, J.-C. Kao, and H. Wang, “Millimeter-wave CMOS power amplifiers with high output power and wideband performances,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4520–4533, Dec. 2013. [57] S. Shopov and S. P. Voinigescu, “An 8-bit 140-GHz power-DAC cell for IQ transmitter arrays with antenna segmentation,” in Proc. IEEE CSICS, Oct. 2014, pp. 1–4. [58] D. Hou, Y.-Z. Xiong, W.-L. Goh, W. Hong, and M. Madihian, “A D-band cascode amplifier with 24.3 dB gain and 7.7 dBm output power in 0.13 μm SiGe BiCMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 191–193, Apr. 2012. [59] N. Sarmah, B. Heinemann, and U. R. Pfeiffer, “A 135–170 GHz power amplifier in an advanced sige HBT technology,” in Proc. IEEE RFIC Symp., Jun. 2013, pp. 287–290. [60] R. Ben Yishay and D. Elad, “A 17.8 dBm 110–130 GHz power amplifier and doubler chain in SiGe BiCMOS technology,” in Proc. IEEE RFIC Symp., May 2015, pp. 391–394. Saeid Daneshgar (S’08–M’10) received the B.Sc. degree in electronics engineering from the Amirkabir University of Technology, Tehran, Iran, in 2002, the M.Sc. degree in electronics engineering from the Sharif University of Technology, Tehran, in 2004, and the Ph.D. degree in microelectronics engineering (with a focus on nonlinear circuits and systems) from the Department of Microelectronic Engineering, University College Cork, Cork, Ireland, and the Tyndall National Institute, Cork, in 2010. He was a Post-Doctoral Researcher with the High Speed and nm Electronics Group, Electrical and Computer Engineering (ECE) Department, University of California at Santa Barbara, Santa Barbara, CA, USA, from 2010 to 2013, and with the High Speed Integrated Circuits Group, ECE Department, University of California at San Diego, La Jolla, CA, USA, from 2013 to 2015, where he was involved in mm-wave circuit design. He is currently a Research Scientist with Intel Labs, Intel Corporation, Hillsboro, OR, USA. Dr. Daneshgar was a recipient of the 2018 Intel Labs Gordy Award. James F. Buckwalter (S’01–M’06–SM’13) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 2006. From 1999 to 2000, he was a Research Scientist with Telcordia Technologies, Piscataway, NJ, USA. In 2004, he was with the IBM T. J. Watson Research Center, Yorktown Heights, NY, USA. In 2006, he joined the faculty of the University of California at San Diego, La Jolla, CA, USA, as an Assistant Professor and became an Associate Professor in 2012. He is currently a Professor of electrical and computer engineering with the University of California at Santa Barbara, Santa Barbara, CA, USA. Dr. Buckwalter was a recipient of a 2004 IBM Ph.D. Fellowship, the 2007 Defense Advanced Research Projects Agency (DARPA) Young Faculty Award, the 2011 NSF CAREER Award, and the 2015 IEEE MTT-S Young Engineer Award.
4860
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
0.3–14 and 16–28 GHz Wide-Bandwidth Cryogenic MMIC Low-Noise Amplifiers Eunjung Cha , Niklas Wadefalk, Per-Åke Nilsson, Joel Schleeh, Giuseppe Moschetti , Arsalan Pourkabirian, Silvia Tuzi, and Jan Grahn , Senior Member, IEEE
Abstract— We present two monolithic microwave integrated circuit (MMIC) cryogenic broadband low-noise amplifiers (LNAs) based on the 100 nm gate length InP high-electron mobility transistor technology for the frequency range of 0.3–14 and 16–28 GHz. The 0.3–14 GHz three-stage LNA exhibited a gain of 41.6 ± 1.4 dB and an average noise temperature of 3.5 K with a minimum noise temperature of 2.2 K at 6 GHz when cooled down to 4 K. The 16–28 GHz three-stage LNA showed a gain of 32.3 ± 1.8 dB and an average noise temperature of 6.3 K with a minimum noise temperature of 4.8 K at 20.8 GHz at the ambient temperature of 4 K. This is the first demonstration of cryogenic MMIC LNA covering the whole K-band. To the best of the authors’ knowledge, the cryogenic MMIC LNAs demonstrated the state-of-the-art noise performance in the 0.3–14 and 16–28 GHz frequency range. Index Terms— Cryogenic, high-electron mobility transistors (HEMTs), low-noise amplifiers (LNAs), monolithic microwave integrated circuits (MMICs), noise temperature, wideband.
I. I NTRODUCTION
C
RYOGENIC wide-bandwidth low-noise amplifiers (LNA) operating at 4–15 K ambient temperature are essential for detection arrays with a large number of receiving antennas in radio astronomy and deep-space communication. The development of monolithic microwave integrated circuits (MMIC) enables the simple and costeffective production of a large number of LNAs, leading to the realization of multibeam receivers [1]. Such amplifiers are important for several radio astronomy bands where the noise figure of the LNA is responsible for a large part of the system noise temperature. Since the integration time in
Manuscript received December 15, 2017; revised April 7, 2018 and June 12, 2018; accepted July 16, 2018. Date of publication October 19, 2018; date of current version November 5, 2018. This work was supported in part by the GigaHertz Centre in a joint project financed by the Swedish Governmental Agency of Innovation System (VINNOVA), in part by the Chalmers University of Technology, in part by Low Noise Factory AB, in part by Omnisys Instruments, in part by Wasa Millimeter Wave, and in part by the RISE Research Institutes of Sweden. (Corresponding author: Eunjung Cha.) E. Cha and J. Grahn are with the GigaHertz Centre, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, 421 96 Göteborg, Sweden (e-mail:
[email protected]). P.-Å. Nilsson, deceased, was with the GigaHertz Centre, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, 421 96 Göteborg, Sweden. N. Wadefalk, J. Schleeh, G. Moschetti, and A. Pourkabirian are with Low Noise Factory AB, 412 63 Göteborg, Sweden (e-mail: moschetti@ lownoisefactory.com). S. Tuzi is with the Department of Physics, Chalmers University of Technology, 421 96 Göteborg, Sweden (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2872566
the receiver is inversely proportional to the square of the system noise temperature, even a small reduction in LNA noise figure translates into a significant enhancement in detection capability. The state-of-the-art noise performance in the 0.5–25 GHz frequency range, which is of interest for radio astronomy and satellite communication, has been reported in [2]–[8]. Up till now, the lowest noise LNAs have demonstrated a noise temperature of 3.5 K with 37 dB gain for the frequency band 4–12 GHz measured at 12 K [6], and an average noise temperature of 8 K in the 20–25 GHz band measured at 22 K [8]. Further progress in the noise performance of high-electron mobility transistor (HEMT) amplifiers at cryogenic temperatures can be achieved by maximization of the intrinsic cutoff frequency ( f T ) and minimization of parasitic resistances of gate and source [9]. However, an aggressive scaling of the Schottky-barrier thickness of the HEMT for highest possible f T will eventually increase the gate leakage current and gateto-channel capacitance which in turn deteriorates the low-noise performance [9]–[12]. Therefore, a well-balanced vertical and lateral scaling of the HEMTs is required in order to improve the noise performance of the cryogenic LNA. In this paper, we have optimized an InP HEMT process for cryogenic LNA applications for microwave frequencies. The optimization included scaling of the barrier layer and the gate length as well as gate resistance reduction with respect to [3]. The 100 nm gate length InP HEMT was implemented in two broadband cryogenic MMIC LNAs covering 0.3–14 and 16–28 GHz frequency range. To the best of the authors’ knowledge, the two broadband MMIC LNAs presented in this paper demonstrates the state-of-the-art cryogenic noise performance. The LNAs based on the InP HEMT technology of this paper operating at 24–40 and 28–52 GHz have recently been reported in [13]. However, the detailed impacts of the scaled barrier thickness and gate length as well as the gate resistance reduction on the noise performance were not discussed. In particular, since a higher gate leakage current resulting from a reduced barrier may affect the noise performance up to a few gigahertz, there is a need for further investigation. In this paper, we study in detail the InP HEMT design for the cryogenic ultra-LNAs for microwave frequencies. This paper is organized as follows. Detailed description of the fabrication process is presented in Section II. The dc and RF characterizations of InP HEMTs are presented in
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
CHA et al.: 0.3–14 AND 16–28 GHz WIDE-BANDWIDTH CRYOGENIC MMIC LNAs
4861
Fig. 1. Cross-sectional STEM HAADF image of 100 nm InP HEMT fabricated in this paper. Epitaxial layers consisted of, from the top to the bottom, an InGaAs cap layer, an InAlAs barrier including δ-doping and spacer, and an InGaAs channel. Annealed ohmic contacts are also shown. See text for details.
Section III. Two MMIC LNA circuit designs are discussed in Section IV, and their measurements at 300 and 4 K are presented in Section V. Discussion is followed in Section VI. Finally, the conclusion is provided in Section VII.
Fig. 2. Drain current–voltage characteristics of a 2 × 100 μm gate width and 100 nm gate length InP HEMT at 300 K (red dashed) and 5 K (blue solid) ambient temperature. Vgs measured from −0.5 to 0.4 V in steps of 0.04 V.
II. D EVICE T ECHNOLOGY The epitaxial layer structure was grown on 4 in semiinsulating (100) InP substrates by molecular beam epitaxy. The layers consisted of, from bottom to top, 500 nm InAlAs buffer, 15 nm InGaAs channel, 3 nm InAlAs spacer, Si δ-doping (5 × 1012 cm−2 ), 8 nm InAlAs barrier, and 20 nm n+ -doped (Si: 5 × 1019 cm−2 ) InGaAs cap layer. The barrier thickness (tbarrier ) was 3 nm less than that (11 nm) of our previously reported HEMTs [3], [12]. Hall measurements at 300 K without the cap layer exhibited an electron mobility and sheet carrier concentration of 10 850 cm2 /V · s and 3.3×1012 cm−2 , respectively. After wet-chemical mesa etching, the InGaAs channel at the mesa sidewall was selectively recessed to avoid a channel–gate direct contact [14]. A Ni/Ge/Au metal stack (10/52/82 nm) annealed at 380 °C for 60 s was utilized for ohmic electrodes. Sheet resistance (Rsh ) including the cap layer was 55 and 20 / at 300 and 5 K, respectively. Contact resistance (Rc ) was 0.03 and 0.04 /mm at 300 and 5 K, respectively, as obtained through the standard transmission line method. After gate recess etching based on succinic acid, a T-shaped gate with a Ti/Pt/Au (20/10/320 nm) metal stack was fabricated. Fig. 1 presents a scanning transmission electron microscope (STEM) high-angle annular dark-field (HAADF) image of a cross section of a 100 nm gate length HEMT. The gate length was scaled from 130 [3], [12] to 100 nm. Gate resistance (Rg ) was measured in a gate through-line test structure. We have reduced Rg from 320 [3], [12] to 230 /mm at 300 K, and from 130 [3], [12] to 50 /mm at 5 K. This significant reduction of Rg was achieved through an optimization of the T-gate fabrication process. The source– drain spacing was 1.4 μm according to [15]. Finally, to passivate the transistor and to form the metal–insulator–metal (MIM) capacitors in the MMIC, a 150 nm-thick Si3 N4 layer was deposited by plasma-enhanced chemical vapor deposition at 270 °C. For thin-film resistors, NiCr with a sheet resistance of 50 / was designed, but ended up having 45 /. The MIM capacitors were based on 150 nm-thick Si3 N4 as a
dielectric, giving a specific capacitance of 390 pF/mm2 . After thinning down the wafer to a thickness of 60 μm, via holes with a diameter of 45 μm were dry etched. The backside of the wafer was gold plated for grounding through the via holes and for the microstrip lines [16]. f T and the maximum oscillation frequency ( f max ) values for a 2 × 100 μm gate width device were 200 and 330 GHz at 300 K, and 240 and 430 GHz at 5 K, respectively, measured at drain–source voltage (Vds ) of 1 V. III. T RANSISTOR C HARACTERIZATION On-wafer dc and RF characterizations of the InP HEMTs by a process described in Section II were performed at 300 and 5 K in a Lakeshore model CRX-4K cryogenic probe station. Fig. 2 shows the output characteristics of a 2 × 100 μm HEMT. The device exhibits a good pinchoff behavior at both temperatures and maximum drain current density (Id ) at Vds of 1 V are 500 mA/mm at 300 K and 650 mA/mm at 5 K. A kink is observed at Id above 200 mA/mm. As reported in [9] and [17], the bias region for the lowest noise performance of the InP HEMTs is close to pinchoff, where the drain current is relatively low. As will be further discussed in Section V, at optimal bias of low-noise operation for the transistor, Id is below 30 mA/mm. Therefore, the kink effect is not relevant in this paper. The dc transconductance (gm ) versus Id is plotted in Fig. 3. As described in [9], the slope of gm at very low drain current is an indicator of the potential low-noise performance of a device. At 5 K, gm reaches 1 S/mm at Id of only 40 mA/mm. The present device exhibits a steeper increase of gm compared with the device in [12], where gm of 1 S/mm was obtained for Id of 50 mA/mm. The improved slope of gm can be attributed to an improved ratio of the gate length to the gate-to-channel distance [18], [19]. Fig. 4 shows the gate current–voltage characteristics. The gate leakage current (Ig ) is an important parameter for the noise performance, in particular at a few gigahertz [9]–[12]. A typical low-noise gate–source bias voltage (Vgs ) for this
4862
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 3. Extrinsic transconductance versus drain current density of a 2 × 100 μm gate width and 100 nm gate length InP HEMT at 300 K (red dashed) and 5 K (blue solid) ambient temperature. Vds measured from 0.1 to 1 V in steps of 0.1 V. Fig. 5. Extracted small-signal model (black dashed) and measured S-parameters (solid) of a 2 × 100 μm InP HEMT at 300 K [(a) and (b)] and at 5 K [(c) and (d)]. The scale in (b) and (d) is 1 for S21 and 0.01 for S12 .
Fig. 4. Gate current–voltage characteristics of a 2 × 100 μm gate width and 100 nm gate length InP HEMT at 300 K (red dashed) and 5 K (blue solid) ambient temperature. Vds measured from 0 to 1 V in steps of 0.5 V.
device is between −0.1 and 0.1 V and low-noise Vds is approximately 0.5 V. For these voltages, Ig is of the order of 0.1 μA at 5 K for a 2 × 100 μm HEMT. The S-parameter measurements were carried out in a frequency range of 3–67 GHz using a Rohde & Schwarz ZVA 67 GHz vector network analyzer and GGB Industries’ 67A 100 μm pitch wafer probes, both at 300 and 5 K. The system was calibrated with a GGB CS-5 alumina substrate using a through–reflect–match calibration procedure. Fig. 5 presents the measured S-parameters and the extracted small-signal model of a 2 × 100 μm HEMT at 300 and 5 K. The measurement and the model are in good agreement. Based on the small-signal model shown in Fig. 6, the equivalent circuit parameters of the devices were extracted (see Table I) using a direct extraction method [20]. The 2 × 100 μm and 2 × 50 μm HEMTs were modeled at the optimum low-noise bias for a 0.3–14 and 16–28 GHz LNA (presented in Section IV), respectively. The 2×100 μm device exhibits about 10% higher
Fig. 6. Small-signal equivalent circuit and noise model of InP HEMT. q is the elementary charge of an electron, and Td and Tg are the equivalent temperatures of the drain and gate resistances, respectively.
intrinsic transconductance (gm,i ) than the previously reported value [3] at cryogenic temperature. Fig. 7 shows the intrinsic current gain |H21|2 of the 2×100 μm device against frequency from 3 to 67 GHz at the optimum noise bias. An extrapolated f T with a slope of −20 dB/dec was 184 GHz at 300 K and 188 GHz at 5 K. At 5 K, f T increased by about 11% compared to the previous technology [17]. To predict the noise behavior of the presented HEMT technology, we extracted the minimum noise temperature (Tmin ) of the InP HEMT at 10 K as described in [3] using the equivalent circuit parameters in Table I and a noise model in Fig. 6. Ig was modeled with a shot noise current source using a dc gate current of 1.2 μA/mm measured at the optimum noise bias [11], [21]. Table II shows an equivalent drain resistor temperature (Td ) and an equivalent temperature to all other resistors (Tg ) which was set to ambient temperature Ig and extracted Tmin for the new InP HEMT technology compared to [3]. Although the 3 nm thinner tbarrier in this work have resulted in a 170% higher Ig , a 0.4 K lower Tmin at 6 GHz was obtained. We believe that this is mainly the consequence
CHA et al.: 0.3–14 AND 16–28 GHz WIDE-BANDWIDTH CRYOGENIC MMIC LNAs
4863
TABLE I E XTRACTED E QUIVALENT PARAMETERS OF 2 × 100 μm AND 2 × 50 μm I N P HEMT AT THE O PTIMUM L OW-N OISE B IAS AT 300 AND 5 K. U NITS A RE V, mA, mS, , fF, pH, AND K
Fig. 8.
Schematic of the 0.3–14 GHz MMIC LNA.
Fig. 9.
0.3–14 GHz LNA photograph. The chip size is 2 × 0.75 mm.
we will investigate the noise performance of the InP HEMTs in two LNA designs both at 300 and 5 K. IV. A MPLIFIER D ESIGN The InP HEMT technology discussed in Sections II and III was utilized for two MMIC LNAs. The LNAs were designed to cover the frequency range from 0.3 to 14 and 16 to 28 GHz. A. 0.3–14 GHz LNA Fig. 7. Intrinsic current gain |H21 |2 of a 2 × 100 μm gate width and 100 nm gate length InP HEMT at the optimum low-noise bias at 300 K (red dashed) and 5 K (blue solid). TABLE II E QUIVALENT N OISE T EMPERATURE Tg AND Td , THE G ATE S CHOTTKY C URRENT Ig , AND E XTRACTED M INIMUM N OISE T EMPERATURE Tmin OF THE I N P HEMT S OF T HIS W ORK AND [3]
of the improvement in gm,i and Rg , whereas Ig does not still dominate the noise. The dc and RF characteristics as well as the minimum noise of the scaled InP HEMT technology show excellent potential for cryogenic low-noise performance in terms of improved gm,i , Rg , and f T , and the resulting lower Tmin . In Section IV,
A simplified schematic of the 0.3–14 GHz LNA is shown in Fig. 8. The LNA has three stages, and uses 2 × 100 μm transistors with a common bias network, which distributes equal current densities to all stages. A photograph of the three-stage 0.3–14 GHz LNA is shown in Fig. 9. The chip size is 2 × 0.75 mm. As reported in [13], the 2 × 100 μm devices were stable both at 300 and 5 K (see Figs. 2 and 3). The first stage is mainly optimized for minimum noise figure. In this low frequency range, an external input matching network on a low-loss substrate achieves a better tradeoff between the input matching and noise performance compared to an integrated matching network. Thus, an external input matching network with relatively wide microstrip lines was utilized on a 0.381 mm low-loss, low-permittivity, and temperature stable Duroid 6002 substrate with 17 μm copper cladding. This substrate enabled high impedance matching with wide microstrip lines with reduced metal losses [3]. The input matching is a series high impedance line and a 50 K resistor used as a bias tee to provide the gate bias. The LNA is strongly mismatched for both power and noise below 4 GHz, but since Tmin drops with decreasing frequency, we can still
4864
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 11.
Schematic of the 16–28 GHz MMIC LNA.
Fig. 12.
16–28 GHz LNA photograph. The chip size is 2 × 0.75 mm.
Fig. 10. Extracted Tmin of a 2 × 100 μm InP HEMT (blue dashed), the simulated noise temperature of the amplifier (blue solid), and μ1 (output terminated in 50 , red dotted) and μ2 (input terminated in 50 , red dotted with x) stability factors at 10 K. Inset: maximum available gain of the transistor.
maintain a low noise at low frequency. In order to improve the stability and input return loss, a source inductance was used for the first transistor by means of a narrow microstrip line. The second stage is matched partially for noise, and the third stage for gain flatness and output match. Gain flatness, and input and output reflection coefficients were taken into account during the design optimization. Two interstage matching network and the output network are mainly realized with capacitors, inductors, and resistors. The noise temperature and stability of the three-stage LNA in Fig. 10 were simulated at Vd = 0.8 V and Id = 15 mA at 10 K. Each InP HEMT was biased at Vds = 0.4 V and Id = 5 mA. Tmin is close to linearly dependent on frequency, and the noise temperature of the amplifier is relatively constant with frequency. μ stability factors were greater than 1 (see Fig. 10). In order to characterize its performance, the MMIC was assembled in a gold-plated aluminum module with SMA coaxial RF connectors and a Nano-D dc connector [3].
B. 16–28 GHz LNA A schematic of the three-stage 16–28 GHz LNA is shown in Fig. 11. All the three stages are equipped with 2 × 50 μm HEMTs which have a source air-bridge design in order to avoid the cryogenic instabilities [13]. All transistors utilize a common bias network. A micrograph of the three-stage 16–28 GHz LNA is presented in Fig. 12. The chip size is 2 × 0.75 mm. In this design, an integrated input matching network was chosen due to the higher frequency range. The input matching network, which matches the transistor optimum noise impedance to 50 , consists of high impedance series transmission line and a shorted stub which is also used for gate bias. Interstage and output matching network are realized using a
Fig. 13. Extracted Tmin of a 2 × 100 μm InP HEMT (blue dashed), the simulated noise temperature of the amplifier (blue solid), and μ stability factors, μ1 (red dotted) and μ2 (red dotted with x), at 10 K. Inset: maximum available gain of the transistor.
spiral inductor, MIM capacitors, and NiCr thin-film resistors for achieving flat gain and stability. The simulated noise temperature and stability of the three-stage LNA at Vd = 0.5 V and Id = 7 mA are shown in Fig. 13. Each 2×50 μm InP HEMT was biased at Vds = 0.5 V and Id = 3.3 mA. Tmin varies almost linearly on frequency. μ stability factors were greater than 1 (see Fig. 13). The LNA MMIC was packaged in a gold-plated aluminum module with a WR42 waveguide RF input connector, a 2.92 mm coaxial RF output connector, and a Nano-D dc
CHA et al.: 0.3–14 AND 16–28 GHz WIDE-BANDWIDTH CRYOGENIC MMIC LNAs
4865
Fig. 15.
16–28 GHz MMIC LNA module inside the cryostat test system.
Fig. 14. Photograph of three-stage 16–28 GHz MMIC LNA with waveguideto-thin-film microstrip transitions mounted in housing. The housing size is 24.79 × 34.43 × 23.20 mm.
connector. A photograph of the assembled MMIC can be seen from Fig. 14. The WR42 waveguide-to-microstrip transition is a split block E-plane probe built on 100 μm-thick alumina substrate. The simulated loss of the transition was 0.1 dB at 10 K. The bond wires from the probe to the MMIC is kept short enough ( f H ; otherwise, it will cause a serious loss problem (Fig. 5). Then, the upper boundary for the distance d can be expressed as ⎡ ⎤ 2 c 2 ⎣ (C · Z 0 )2 + d< √ − C · Z 0 ⎦. (9) 2 εreff π f Bragg The effect of the Bragg cutoff frequency is illustrated in Fig. 5, where the transmission response and loss feature with different d, respectively, leading to f Bragg of 3, 4, and 5 GHz are shown. The loss will increase dramatically after
the cutoff frequency, and a higher cutoff frequency will result in a lower loss in the operation frequency band, but at the cost of a smaller d. In other words, more sections will be needed to have a high Smith chart coverage, which in turn increase the total loss, so that a tradeoff needs to be made. In this paper, a cutoff frequency of 4 GHz will be chosen for the EIS with an operation frequency band of 0.8–2.5 GHz. To guarantee that there are enough impedance points at the starting frequency point, the total length of the transmission line should be larger than a half-wavelength of the lowest frequency. Then, the distance between two sections should be d > λmax /2(N + 1).
(10)
Compared to the strict limiting factor in (9), the lower boundary of d is more flexible. If a low starting frequency and a high Bragg cutoff frequency are chosen, a conflict will occur between (9) and (10). In this case, the restriction of (9) should be followed. In the general case, (9) and (10) will limit d to a small range, which is critical for design. The Smith chart coverage and mean loss are investigated for the EIS with a different number of sections (Fig. 6) while keeping f Bragg as 4 GHz. As can be seen that when d is limited by (9), the Smith chart coverage can be improved by increasing the number of sections but with the sacrifice of loss. It can be also seen in Fig. 6 that the minimum coverage within a certain bandwidth always occurs at both sides of the frequency range. Therefore, the relationship between the minimum coverage for a certain bandwidth and the number of sections N could be obtained, which can be used as a preliminary guidance to determine the minimum N according to the required coverage. C. Selection of p-i-n Diode and Determination of Z 0 The p-i-n diode has a wide intrinsic layer; therefore, it can handle a high RF voltage [32], thus having a good
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO et al.: NONUNIFORMLY DISTRIBUTED EIS
Fig. 7.
5
Diagram of optimization process using PSO.
linearity [27]. In addition, a p-i-n diode has a fast switching time [33], [34]. In this paper, commercial p-i-n diodes are selected for the design of an EIS mainly based on two aspects: parasitic parameters and power-handling capacity. A tradeoff needs to be made between series resistance and capacitance since they have an opposite relationship with respect to the junction area. The details about power-handling capacity and nonlinearity analysis were presented in our previous work [27]. In this paper, SMP1320-079LF from Skyworks Solution Inc. with 8 μm intrinsic layer and BAR95-02LS from Infineon Technologies with 19 μm intrinsic layer are chosen for our experiments to handle at least 0.5 W continuous wave power. In a similar way as in the NLTL theory, the initial impedance of the unloaded transmission line Z 0 is set to be a high value, in order to keep the loaded impedance close to 50 after loading the capacitors. Increasing Z 0 improves the Smith chart coverage of the EIS, but a high Z 0 leads to a narrow trace, where soldering the commercial components becomes challenging. III. O PTIMIZATION For an EIS with N sections (Fig. 1), 3 × N + 6 variables will be involved in the optimization process, including N loading capacitors, N + 1 lengths of the transmission line and N + 1 impedances for the distributed circuit, two capacitors, and 2 lengths of 50- transmission line for adjusting circuit. The complexity of such an optimization problem is more pronounced with the number of sections. This paper is the
first to introduce PSO to solve the multiparameter microwave design problem of an EIS, which can dramatically shorten the development cycle. PSO is an emerging heuristic search method, which is inspired by the collaborative behavior or information sharing mechanism of biological populations, such as flocks of birds and schools of fish. As a population-based search method, the best solution can be discovered by moving around a population (swarm) of candidate solutions (particles) in the multidimensional search space. The movement of each particle is guided not only by its local best-known position but also by the best-known positions of the entire swarm so as to move the swarm toward the best solution after several iterations. A. Definition of Fitness Function A fitness function is an FOM that indicates how close the design solution is to the goal. The Smith chart coverage will be considered as the fitness function since it is the most important criterion to evaluate an EIS. It should be noted that several criteria such as uniformity factor, loss, and power handling (discussed in Sections V-A2, V-C, and V-D) can also be integrated into the fitness function according to different applications. B. Description of Optimization Process of PSO Fig. 7 shows the diagram of the optimization process of an EIS, the engineering problem with multiple parameters can be considered as a multidimensional space in PSO, and each combination of parameters will be a position in the space.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
The PSO algorithm consists of three steps, namely, generating particles’ positions and velocities, velocity update, and position update. In a basic PSO algorithm, the initial position x k,i and velocity v k,i of each particle are generated randomly based on the upper and lower bounds of each particle. In this paper, we directly use the initial parameters that are obtained from the semiclosed-form design procedure. The initial position will dramatically reduce the searching time for the best solution. In the second step, the velocity of particle i at time k + 1, v k+1,i , is updated from its current velocity v k,i by the following equation [35]: pi − x k,i gk − x k,i + c2 r g (11) t t where pi stands for the best position for particle i , while gk represents the best global position value in the current swarm. It shows that the next search direction for each particle is influenced not only by its best position but also the best position in the swarm. Three weight factors are introduced to express the portion of influence for each term, namely, the inertia factor c0 , self-confidence factor c1 , and swarm confidence factor c2 . In addition, two uniformly distributed random parameters r p and r g are applied to guarantee a good coverage and avoid local optimal. The last step of PSO in each iteration is to update the position with the following equation: v k+1,i = c0 v k,i + c1r p
x k+1,i = x k,i + v k+1,i t.
(12)
The updated parameters after PSO are used to evaluate the fitness function. One important step to calculate the Smith chart coverage is to remove redundant impedance points and choose useful points. Several restriction conditions on loss, a uniformity factor and power handling can be applied in this step for a specific application. In order to have a high coverage over the whole frequency band of interest, we will find out the minimum coverage versus the frequency in each iteration. Then, in PSO, we will define the optimization goal as maximizing the minimum coverage. The best solution will be obtained when the maximum iteration is reached or the optimization goal is satisfied. It should be noted that the developed optimization method can be applied to optimize the specific area on the Smith chart if a special requirement is asked in the application. Optimization results show that the difference of impedance of the N + 1 transmission line does not improve the results obviously. Therefore, we can remove some optimization variables in order to reduce the optimization time. PSO is inherently a continuous optimization method, one can modify it to handle discrete design variables according to the commercial value of capacitors. Actually, a slight change of capacitance does not have much effect on the performance of an EIS. Therefore, we can just replace the optimized capacitance with the closest practical capacitor value. As for the computational efficiency of PSO, an optimization with 27 parameters takes averagely 1.5 s for each iteration when the number of particles is set as one. In this paper, the number of particles of PSO is set up as 20, the optimal value can be reached after 20 iterations, which means 10 h for the optimization of an EIS with 27 variables. It is worthwhile
Fig. 8. Fabricated uniform and nonuniform circuits, biasing wires are not shown. (a) Circuit 1: 12 sections uniformly distributed topology without adjusting circuit. (b) Circuit 2: 12 sections uniformly distributed topology with one section of adjusting circuit. (c) Circuit 3: 12 sections nonuniformly distributed topology with one section of adjusting circuit. (d) Circuit 4: New 13 sections nonuniformly distributed EIS with one section of adjusting circuit.
to note that the total optimization time decreases when the number of parameters reduces. IV. E XPERIMENT In order to validate the design procedure as well as the optimization method, three general-purpose EISs [Fig. 8(a)–(c)] are proposed for 0.8–2.5 GHz. Only Smith chart coverage and loss are considered in the fitness function of optimization to simplify the verification of the theory. As shown in Fig. 8, three circuits are optimized and fabricated on the Rogers 6002 substrate with a thickness of 20 mils. Circuit 1 is a 12 sections uniformly distributed topology with d = 5.71 mm, Cd = 1.8 pF, and Z 0 = 83 [Fig. 8(a)]. Based on circuit 1, circuit 2 adds an adjusting circuit [Fig. 8(b)], in which Ca1 is 1.8 pF, and Ca2 is 30 pF (Fig. 1). All the parameters of circuit 2 are optimized nonuniformly [Fig. 8(c)], the distance d in circuit 3 varies from 1.48 to 9.16 mm, while the loading capacitance C varies from 0.7 to 9.1 pF. It is apparent that the circuit 3 has a smaller size than circuits 1 and 2. The three circuits [Fig. 8(a)–(c)] are measured automatically with the software FDCS provided by Focus Microwaves Inc., and a controller is dedicated to switching the states of EIS. The measured and theoretically calculated Smith chart coverages of these three circuits are depicted in Fig. 9. Theoretical result of circuit 2 has a Smith chart coverage 10% better than circuit 1, which has validated the effect of the adjusting circuit. Theoretical result of nonuniform circuit 3 further improves the Smith chart coverage compared to circuit 2.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO et al.: NONUNIFORMLY DISTRIBUTED EIS
Fig. 9. Comparison of measured and theoretically calculated Smith chart coverages for four fabricated circuits.
Fig. 10. Equivalent circuit model of a unit circuit when p-i-n diode is turned (a) ON and (b) OFF.
However, the measurement results for all the three circuits deviate from the theory at high frequency. Measurement results prove the effectiveness of the nonuniform topology and PSO method, even though the deviation is observed between theory and measurement. Parametric studies are carried out to investigate the intrinsic reason of the deviation, showing that the series inductance and series resistance are the two main factors resulting in the deviation in Fig. 9. The EIS is sensitive to every parasitic parameter in the real circuit, so the equivalent circuit model of a unit circuit of the EIS is necessary to be built. As depicted in Fig. 10, the commercial capacitor is modeled by a series circuit of RLC. L cap is around 0.4 nH for a capacitor with 0402 footprint, which is obtained by fitting the self-resonance frequency of the capacitor. The p-i-n diode at ON state can be modeled by series inductor L p-i-n and series resistor Rp-i-n , while OFF state is modeled by series inductor L p-i-n and series capacitor Cp-i-n . L p-i-n is dependent on the footprint selection of the p-i-n diode. The effects of connection pads and via holes can be modeled as an inductor, which is around 0.2–0.4 nH obtained from modeling the measured S-parameter of a unit circuit. Among the parasitic parameters, the series inductance from the p-i-n diode, the capacitor, and the connection pads and via holes has a critical influence on the performance of an EIS, since it will resonate with the loading capacitor near the operation frequency band. The effect of the parasitic inductance is
7
studied at the impedance state with all the p-i-n diodes that are turned ON. When the total parasitic inductance L is 0, the Bragg cutoff frequency dominates. When L increases to 0.8 and 1.5 nH, the resonance frequency starts to dominate. The consequence is that the loss increases dramatically near the resonance frequency. The effect of parasitic inductance on the performance of an EIS is also studied for all the impedance states. The Smith chart coverage at high frequency is distorted seriously due to the increases in the parasitic inductance, and the mean loss at high frequency becomes worse. Except the parasitic inductance, the series resistance is also a critical factor leading to the degradation of performance at high frequency. In a circuit with a high standing wave, a small parasitic resistance will cause an obvious loss problem due to the multipath loss. The sweep of resistance from 0 to 3 leads to an increase in loss before the resonance frequency. The parametric study of the series resistance on the performance of EIS for all impedance states shows that the increase in resistance reduces the Smith chart coverage by more than 20%, and increases the loss more than 10 times at high frequency. In circuits 1–3, the p-i-n diode labeled as SMP1320079LF from Skyworks Solution Inc. is chosen, which has a series inductance around 0.7 nH. Therefore, the total parasitic inductance should be around 1.5 nH after considering the inductance from a commercial capacitor (0.4 nH) and the inductance from the connection pads and via holes (0.4 nH). It will resonate with the loading capacitance near the operation frequency band. However, only 0.9 nH parasitic inductance was considered in the first experiment due to the inaccurate modeling for the parasitics. In addition, the total series resistance should be around 3 after taking into account Rp-i-n of 1 from the p-i-n diode, and the series resistance of 2 from the commercial capacitor and the connection pads. However, only 1- parasitic inductance was considered in the first experiment. In summary, the inaccurate modeling of series inductance and series resistance leads to the deviation between theory and measurement at high frequency for circuits 1–3. After considering the parasitic parameters in the design of a p-i-n diode-based EIS, some degree of correction should be made on (4), (6), and (9). However, these complicated corrections can be done in the optimization process instead of the design procedure. By contrast, the EIS based on MEMS [1], [7] does not suffer from the parasitic problem, so that it can be designed at the millimeter-wave frequency band. The first experiment [Fig. 8(a)–(c)] shows that the nonuniform topology not only has a smaller physical size but also has a better Smith chart coverage than the uniform counterpart, which has validated the effectiveness of the proposed nonuniform topology. In order to further eliminate the gap between the measurement and theory at high frequency, a new nonuniform circuit is designed and optimized [Fig. 8(d)]. Two steps are carried out to reduce the parasitic inductance. First, the p-i-n diode of BAR95-02LS from Infineon Technologies with the parasitic inductance of 0.2 nH is selected. Then, shorter and smaller connection pads and larger via are made to have a minimum parasitic inductance around 0.2 nH. Even so, the total parasitic inductance is around 0.8 nH, and the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I F INAL D IMENSION OF N ONUNIFORMLY D ISTRIBUTED EIS. U NIT: C APACITOR : pF; I MPEDANCE : ; AND D ISTANCE : mm
total parasitic resistance is around 3 . However, they are not avoidable if commercial components are used. Since the minimum parasitic inductance of 0.8 nH is determined, the maximum loading capacitance will be limited to 2 pF so as to keep the resonance frequency at 4 GHz. From Fig. 4, we can see that this restriction will largely narrow the choices of loading capacitance. In other words, a small selectable capacitance will reduce the Smith chart coverage in the desired frequency band, especially at low frequency. As illustrated in Fig. 6, one solution to improve the Smith chart coverage is to increase the number of sections. In this paper, we choose total sections of 14, which include one section of adjusting circuit and 13 sections of distributed circuit. One reason is that the improvement of Smith chart coverage is not obvious with section number more than 14. Another reason is that the test limitation with the tuner controller from Focus Microwave Inc. is 14 bits. It should be noted that the fabrication on the wafer will not have such a large limitation, thanks to a small parasitic inductance, e.g., MEMS technique. In circuit 4 [Fig. 8(d)], we use the same capacitance of 2 pF for all the capacitors in a nonuniform topology. Then, PSO is applied to optimize the distances between sections, and the optimized circuit dimensions are listed in Table I. Compared to circuit 3, the limitation of a fixed loading capacitance in circuit 4 leads to a larger d in order to have the Smith chart coverage as large as possible. The circuit is bent to reduce the total size. Fig. 11 depicts a good match between the measured and theoretical results of S-parameters for two impedance states with all the p-i-n diodes that are turned ON and OFF, respectively. V. E VALUATION A variety of FOM have been presented to evaluate the TMN [1]–[3], [6], [7] and the EIS [8], [18], [36], [37] in the previous works. Indeed, the core of a TMN is an EIS; therefore, the evaluation FOM for a TMN and an EIS can be studied together. Table II lists all the FOM that have been used to evaluate TMN or EIS. Certain FOM can be selected from them for a specific application. A. Tuning Range For either optimization or evaluation of an EIS, a quantitative criterion is always helpful. The tuning range of EIS usually can be represented by the Smith chart coverage [2], [3],
Fig. 11. Comparison of measured and theoretical S-parameters for impedance states with all the p-i-n diodes that are turned (a) ON and (b) OFF. Dot: measurement. While solid line: theory.
Fig. 12. (a) All 16 384 measured impedance points. (b) Selected points for the calculation of Smith chart coverage.
uniformity factor [6], maximum VSWR [1], or maximum Gamma [38]. Most of the published work used one or two of them; however, each criterion has its own pros and cons. None of them can describe the tuning range alone. In this paper, we list all of them according to their significance. 1) Smith Chart Coverage: Following the procedure in [27], useful impedance points are selected from the measured points, as depicted in Fig. 12(a) and (b). The Smith chart coverage is calculated by the ratio of the selected useful points to the total predefined points. The measured and simulated Smith chart coverages are compared in Fig. 9. The Smith chart coverage of circuit 4 exhibits lower than circuit 3 mainly because of the limitation of loading capacitance. However, the measured results show a good match with simulations after eliminating the impacts from the Bragg cutoff frequency and resonance problem, the difference is within 5%. In other words, the design of the EIS is reliable after considering the accurate parasitic parameters.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO et al.: NONUNIFORMLY DISTRIBUTED EIS
9
TABLE II S UMMARIZATION OF C RITERIA TO E VALUATE TMN AND EIS
The measured and theoretical impedance distributions on the Smith chart at several frequencies are compared in Fig. 13. Since the impedance generated by the EIS is sensitive to the parasitic parameter, it is difficult to have exact point-topoint matching between the theory and measurement, especially for the EIS with soldered commercial components. For the p-i-n diode-based EIS, the acceptable differences presented in Figs. 11 and 13 indicate that the theory can be used to predict the real performance approximately and statistically. 2) Uniformity Factor: The Smith chart coverage criterion can provide a total number of useful points, but it does not show where those points are distributed on the Smith chart. A high coverage does not guarantee a uniform distribution. An ideal distribution should have a Smith chart coverage as high as possible, at the same time, have a uniformity factor as low as possible. A lower uniformity factor indicates a better distribution on the Smith chart, which can be understood as the same number of impedance points distributed over an equal area. We propose to apply the criterion of a uniformity factor from TMN [6] into the EIS so as to compensate the deficiency of the Smith chart coverage. It is a numerical solution to roughly estimate the uniformity of distribution on the Smith chart; therefore, it also can be used as a restriction condition in optimization. A lower value indicates a better distribution over the entire Smith chart. The uniformity factor-related calculation process is described as the following. The Smith chart will be divided first into Nr and Nθ subsections from radius and phase directions, respectively (Fig. 14). It should be noted that a
nonuniform division will be done in the radius direction in order to ensure that each subsection has the same area. Then, the number of impedance points N (i , j ) in each subsection will be counted. Finally, the uniformity factor can be expressed by calculating the variance Nr Nθ Ntotal 2 i=1 j =1 (N(i, j ) − Nr Nθ ) . (13) UF = Nr Nθ Nr of 16 and Nθ of 64 are chosen to divide the Smith chart from radius and phase directions, respectively. As shown in Fig. 15, the measurement results show a good match with theory. 3) Maximum VSWR: In practical applications, it is important to have enough points for each constant VSWR circle, which is useful to check the performance of a DUT by varying the phase while the VSWR keeps constant. The VSWR is larger than 15 for 1.5–2.2 GHz, and larger than 10 for 1.2–1.4 GHz and 2.3–2.5 GHz. In fact, this criterion is not so accurate because of the subjective judgment on the irregular distribution. 4) Maximum Reflection Coefficient: The maximum reflection coefficient refers to the radius of the farthest point away from the center of the Smith chart. Compared to the previous three criteria, the maximum is the most meaningless criterion, since it is only one impedance point that carries on a very limit information. Fig. 16 depicts the comparison of required, estimated, theoretical, and measured maximum . As can be seen that the measurement results are close to the theory, both of them are higher than the required . The estimation of maximum using (2) is lower than the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 14.
Fig. 15. factor.
Division of Smith chart to calculate the uniformity factor.
Comparison of measured and theoretically calculated uniformity
Fig. 16. Comparison of required (black dashed line), estimated maximum (red solid line), theoretical maximum (orange solid line with circle marker), and measured maximum (blue solid line with triangle marker).
the loading capacitance, the estimated maximum should be larger than the requirement. Fig. 13. (a) Measured and (b) theoretical impedances distribution at 1.2 GHz. (c) Measured and (d) theoretical impedances distribution at 1.5 GHz. (e) Measured and (f) theoretical impedances distribution at 1.8 GHz. (g) Measured and (h) theoretical impedanced distribution at 2.1 GHz. (i) Measured and (j) theoretical impedances distribution at 2.4 GHz. Blue circle: constant VSWR = 15 circle (|| = 0.875).
required particularly at low frequency, because the parasitic inductance limits the loading capacitance to 2 pF which is too small at low frequency (Fig. 4). If no restriction is applied to
B. Bandwidth Bandwidth describes the frequency range over which a minimum given Smith chart coverage, maximum uniformity factor, and maximum VSWR are satisfied. Bandwidth must not be wide when satisfying these harsh criteria. Nevertheless, we could choose different values for those three criteria according to different applications. For the nonuniform
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO et al.: NONUNIFORMLY DISTRIBUTED EIS
11
the source. G A can be simplified as GA =
|S21 |2 1 − |S22 |2
(15)
from which we can estimate the power output from EIS or the power input to DUT if the conjugation matching is considered. When an EIS is used as a TMN for reconfigurable devices [Fig. 17(d)], both sides are probably not 50 , which means S = 0 and L = 0. As discussed in [27], we select transducer power gain G T for this scenario GT =
2 1 − | S |2 2 1 − | L | |S | 21 |1 − in S |2 |1 − S22 L |2
(16)
where Fig. 17. Four different scenarios to use EIS. (a) Calibration with VNA. (b) Load–pull characterization system. (c) Noise measurement. (d) TMN for reconfigurable PA or antenna.
topology in Fig. 8(d), the bandwidth is 800 MHz when we choose a minimum Smith chart coverage as 60%, a maximum uniformity factor as 500, and a maximum VSWR as 10. C. Loss Three loss definitions, transducer power gain G T , power gain G P , and available power gain G A [39], can be utilized for a mismatched two-port network in either logarithm [1], [2], [6] or linear format [7], [8]. The selection of loss definition depends on the different scenarios as explained in Fig. 17. Except acting as a one-port variable load, EIS is normally used as a two-port network in four scenarios (Fig. 17). In the calibration process [Fig. 17(a)], the EIS will be connected to a 50- VNA, then we have S = L = 0. As discussed in [27], the power gain G P is chosen for this scenario GP =
|S21 |2 1 − |S11 |2
.
(14)
When the EIS is used as a load tuner in the load–pull characterization system [right side in Fig. 17(b)], the impedance at the output port of DUT is unknown (but not 50 ), then we have S = 0 and L = 0. Power gain G P only can be calculated and it has the same simplified form as (14). In practical applications, the impedance of the EIS will be swept until the maximum power is detected by the power meter that is placed at the output of the EIS, then we consider the output of DUT and the input of the EIS are conjugation matched. From power gain G P , we can estimate the output power from the DUT. In fact, the optimal impedance and maximum power at the output port of DUT are what one expects from a load–pull system. Since the load tuner scenario shares the same loss equation with the calibration scenario [Fig. 17(a)], the loss from the calibration process can be considered as a reference for the load tuner. In a source tuner scenario [left side in Fig. 17(b)] or noise measurement [Fig. 17(c)], S = 0 and L = 0, we will select the available power gain G A that is defined by the ratio of power available from the EIS and power available from
S12 S21 L . 1 − S22 L From the above analysis, one knows that the loss is not always describing the power dissipated inside the EIS. As used in most of the works, the definition of (14) is also chosen in this paper for two reasons: 1) it is similar to a real calibration process with both sides terminated by 50 and 2) although it is not suitable for all the scenarios, it is the only measurable loss compared to others, and the measured results can be used to verify the theory. The sources of loss of the EIS includes dielectric loss, conductive loss, parasitic Rp-i-n from the p-i-n diode and equivalent resistance of capacitor and connection pads. In addition, parametric studies show that it will be largely affected by the resonance caused by the parasitic inductance and loading capacitance. Fig. 18(a) and (b) shows the theoretical and the measured losses for the selected impedance states at 1.5 GHz. It can be seen that the loss increases with the increase in reflection coefficient because of the multipath loss under high reflection condition. The comparison of measured and theoretical mean loss at different frequencies [Fig. 18(c)] presents a good match between them. in = S11 +
D. Power-Handling Analysis Voltage distribution theory has been developed for a uniform distributed EIS [27], a similar theory can be applied to the proposed nonuniformly distributed EIS, and the maximum peak voltage at the position of each diode can be monitored. The voltage distribution of two limiting states, called the highest (0.91) and lowest (0.01), with 0.5 W input power is shown in Fig. 19(a) and (b). Simulations with ADS have been presented to validate the theory, because it is not able to measure the voltage distribution in the real circuit. It can be seen that the theory matches the simulation very well. Due to the inhomogeneous property, the standing wave that presents at the input port (steady state) is not the same as the one existing along the transmission line. In addition, a large peak voltage always occurs at the position of the p-i-n diode with OFF state [27]. The nonlinearity of EIS is mainly caused by those p-i-n diodes with OFF states. A larger maximum peak voltage existing on the transmission line will lead to a lower power-handling capacity. Under a linear operation power,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 20. Simulated relationship of (a) P1 dB and (b) IIP3 with the maximum peak voltage along the transmission line for 20 impedance points under input power of 0.5 W. Solid line: linear fitting curve of the selected 20 points. Dashed line: extension of the fitting curve.
Fig. 18. (a) Theoretical and (b) measured losses for the selected impedance points at 1.5 GHz. (c) Comparison of theoretical and measured mean losses over frequency.
actual power-handling test [27]. As depicted in Fig. 20(a), 20 impedance states with large maximum peak voltage have been chosen for harmonic balance simulation in ADS with input power of 0.5 W. Then, linear fitting (solid line) is applied for the 20 simulations, and the extension line (dashed line) can be used to predict the P1 dB for impedance states with low maximum peak voltage. It shows that the p-i-n diode-based nonuniform EIS has P1 dB higher than 33 dBm. In other words, the EIS can handle the 0.5-W average power linearly. This criterion can be integrated into the optimization, and we can further improve the power handling of EIS by limiting the maximum peak voltage to a certain level (e.g., 14 V). E. Nonlinearity Analysis Ideal EIS should be made as linear as possible. The input third-order intercept point (IIP3) can be applied to express the nonlinearity of EIS [27]. Similar to the prediction of P1 dB, the IIP3 can also be estimated from a small amount of simulation in ADS without doing the actual IMD measurement. As illustrated in Fig. 20(b), the IIP3 of the p-i-n diode-based nonuniform EIS is higher than 53 dBm. F. Noise Figure
Fig. 19. Comparison of theoretical and simulated voltage distributions along the transmission line under average power of 0.5 W for the (a) highest state (|| = 0.9) and (b) lowest state (|| = 0.01).
the maximum peak voltage is proportional to the input power (dBm). The voltage distribution theory has been proven to be able to predict the P1 dB without doing the
For any active device, high noise figure stands for significant influence on the noise performance of the system. The EIS will introduce noise to the practical application; therefore, the noise performance of the EIS itself is significant. In this paper, a noise source, noise analyzer, and tuner controller along with an automation program are presented to measure the noise figure of EIS automatically. Fig. 21 shows the results for 816 selected useful impedance states. It can be seen that the noise figure of EIS increases while the reflection coefficient increases. Although the EIS has a maximum noise figure of 17.5 dB at 1.5 GHz, it can be calibrated in the noise
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO et al.: NONUNIFORMLY DISTRIBUTED EIS
13
Experiments with thermotank show that when temperature ◦ varies from −40 to 40 C, the repeatability still keeps 50 dB, which means that the EIS works stably when temperature changes in this range. 5) Cost, Size, Weight, and Easy Integration: The advantages of EIS compared to the electromechanical tuner and active tuner are its size and weight. They are essentially designed for on-wafer tests. Although the size of EIS in this paper is around 36 mm × 32 mm, the whole circuit can be further integrated on the wafer for high-frequency applications if other switching technique, e.g., MEMS, can be adopted.
Fig. 21. Noise figure of the proposed nonuniform EIS at 1.5 GHz for 816 selected impedance states.
characterization system without affecting the accuracy of the noise figure test for the DUT. G. Other Concerns 1) Repeatability: Repeatability indicates the differences of S-parameters among several time measurements for the same impedance state. It shows how well the EIS can repeat each impedance state. The EIS used in the nonreal-time measurement system requires precalibration. Therefore, it is critical for the EIS to repeat the same impedance state, which means that the EIS should be stable. The repeatability test must be done over a large number of impedance states and a range of frequencies to find the worst case. The proposed nonuniform EIS exhibits at least 55 dB repeatability. It will make the test data precise enough when evaluating the PA or radio module. 2) Tuning Resolution: Tuning resolution of EIS refers to the resolution of impedance points generated by the EIS. An EIS with a high resolution is important for precision measurements. Traditional EIS exhibits an irregular impedance pattern with unpredictable jumping of impedance states so that it is difficult to have an exact value for tuning resolution. However, the tuning resolution can be described by the predefined density in the discretization process when the Smith chart coverage is calculated. 3) Tuning Speed: Tuning speed is the time taken by the impedance synthesizer that moves from one impedance state to the next. The switching time of the selected p-i-n diode is in the order of 0.1 μs, so the switch time of EIS from one state to another is also in the same order. In the precalibrated procedure, the speed is mainly limited by VNA and also the reading via the general-purpose interface bus interface, in the order of 0.1 s. In the real applications, the speed is limited by the controller, which is in the order of 10 ms. Even so, it only needs a few seconds to complete the measurements with 1000 useful impedance states, which is much faster than an electromechanical tuner. In other words, the EIS can be used in the applications where a high measurement throughput is required. 4) Temperature Drift: Temperature drift can describe how the performance of EIS changes when temperature varies.
VI. C ONCLUSION We have presented a semiclosed-form design procedure for distributed EIS. Initial values and boundaries of multiple parameters have been determined in this procedure. Then, a PSO method is introduced to solve the multiparameter optimization problem of the proposed nonuniform EIS. The effectiveness of the proposed nonuniform topology and the optimization method are validated by experiments. Experimental results show that the proposed nonuniformly distributed topology not only has a better Smith chart coverage but also has a smaller size than a uniform topology. Parametric studies demonstrate that an inappropriate consideration of parasitic parameters can degrade the performance at high frequency. With accurately modeling of parasitics, the measurements of nonuniform EIS present a good match with the theory. This paper provides a computer-aided design for the nonuniform EIS. The successful utilization of PSO can shorten the development period of an EIS to a few hours. Different criteria can be configured in the fitness function of the optimization process so as to satisfy specific requirements for different applications. Furthermore, comprehensive FOM are studied and summarized, different FOM can be chosen to evaluate the EIS according to their applications. Although we designed a general-purpose EIS in this paper, the PSO and complete list of FOM provide a more freedom in the design of EIS for different purposes. ACKNOWLEDGMENT The authors would like to thank J. Gauthier, T. Antonescu, S. Dube, J. S. Decarie, K. D. Wang, and J. D. Wu, all with the Poly-Grames Research Center, Ecole Polytechnique de Montréal, University of Montreal, Montreal, QC, Canada, for their technical assistance and discussion. The authors would also like to thank Z. B. Wang, with Focus Microwaves Inc., for the deep discussion about the electronic tuner and Focus Microwaves Inc. for providing the tuner controller. R EFERENCES [1] Q. Shen and N. S. Barker, “Distributed MEMS tunable matching network using minimal-contact RF-MEMS varactors,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2646–2658, Jun. 2006. [2] C. Sánchez-Pérez, J. de Mingo, P. García-Dúcar, P. L. Carro, and A. Valdovinos, “Figures of merit and performance measurements for RF and microwave tunable matching networks,” in Proc. IEEE Eur. Microw. Integr. Circuits Conf. (EuMIC), Oct. 2011, pp. 402–405.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
[3] C. Sánchez-Pérez, J. de Mingo, P. L. Carro, and P. García-Dúcar, “Design and applications of a 300–800 MHz tunable matching network,” IEEE J. Emerg. Sel. Topics Circuits Syst., vol. 3, no. 4, pp. 531–540, Dec. 2013. [4] C. Hoarau, N. Corrao, J. D. Arnould, P. Ferrari, and P. Xavier, “Complete design and measurement methodology for a tunable RF impedancematching network,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 11, pp. 2620–2627, Nov. 2008. [5] C. Hoarau, P. E. Bailly, J. D. Arnould, P. Ferrari, and P. Xavier, “A RF tunable impedance matching network with a complete design and measurement methodology,” in Proc. IEEE Eur. Microw. Conf., Oct. 2007, pp. 751–754. [6] F. Domingue, S. Fouladi, A. B. Kouki, and R. R. Mansour, “Design methodology and optimization of distributed MEMS matching networks for low-microwave-frequency applications,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3030–3041, Dec. 2009. [7] T. Vähä-Heikkilä and G. M. Rebeiz, “A 4–18 GHz reconfigurable RF MEMS matching network for power amplifier applications,” Int. J. RF Microw. Comput. Aided Eng., vol. 14, no. 4, pp. 356–372, 2004. [8] W. N. Allen and D. Peroulis, “Three-bit and six-bit tunable matching networks with tapered lines,” in Proc. IEEE Top. Meeting Silicon Monolithic Integr. Circuits RF Syst. (SiRF), Jan. 2009, pp. 1–4. [9] J. D. Mingo, A. Valdovinos, A. Crespo, D. Navarro, and P. Garcia, “An RF electronically controlled impedance tuning network design and its application to an antenna input impedance automatic matching system,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 2, pp. 489–497, Feb. 2004. [10] R. B. Whatley, Z. Zhou, and K. L. Melde, “Reconfigurable RF impedance tuner for match control in broadband wireless devices,” IEEE Trans. Antennas Propag., vol. 54, no. 2, pp. 470–478, Feb. 2006. [11] R. Lane, “A microwave noise and gain parameter test set,” in IEEE ISSCC Dig. Tech. Papers, Feb. 1978, pp. 172–173. [12] W. Bischof, “Variable impedance tuner for MMIC’s,” IEEE Microw. Guided Wave Lett., vol. 4, no. 6, pp. 172–174, Jun. 1994. [13] M. Dydyk, “MMIC reflection coefficient synthesizer for on-wafer noise parameter extraction,” in 39th ARFTG Conf. Dig., Jun. 1992, pp. 26–42. [14] C. E. McIntosh, R. D. Pollard, and R. E. Miles, “Novel MMIC sourceimpedance tuners for on-wafer microwave noise-parameter measurements,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 2, pp. 125–131, Feb. 1999. [15] D. Pienkowski and W. Wiatr, “Broadband multi-state electronic impedance tuner for on-wafer noise parameter measurement,” in Proc. IEEE 32nd Eur. Microw. Conf., Sep. 2002, pp. 1–4. [16] L. Rabieirad and S. Mohammadi, “Reconfigurable CMOS tuners for software-defined radio,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 11, pp. 2768–2774, Nov. 2009. [17] Y. Tagro, N. Waldhoff, D. Gloria, S. Boret, and G. Dambrine, “In situ silicon-integrated tuner for automated on-wafer MMW noise parameters extraction using multi-impedance method for transistor characterization,” IEEE Trans. Semicond. Manuf., vol. 25, no. 2, pp. 170–177, May 2012. [18] Y. Lu, L. P. Katehi, and D. Peroulis, “A novel MEMS impedance tuner simultaneously optimized for maximum impedance range and power handling,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 930-1–930-4. [19] T. Vähä-Heikkilä, K. Van Caekenberghe, J. Varis, J. Tuovinen, and G. M. Rebeiz, “RF MEMS impedance tuners for 6–24 GHz applications,” Int. J. RF Microw. Comput. Aided Eng., vol. 17, no. 3, pp. 265–278, 2007. [20] R. Whatley, T. Ranta, and D. Kelly, “CMOS based tunable matching networks for cellular handset applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [21] P. Scheele, F. Goelden, A. Giere, S. Mueller, and R. Jakoby, “Continuously tunable impedance matching network using ferroelectric varactors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 606-1–606-4. [22] H. T. Jeong, J. E. Kim, I. S. Chang, and C. D. Kim, “Tunable impedance transformer using a transmission line with variable characteristic impedance,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 8, pp. 2587–2593, Aug. 2005. [23] C. E. Woodin, Jr., and D. L. Wandrei, “High power solid state programmable load,” U.S. Patent 5 276 411 A, Jan. 4, 1994.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[24] Y. Lu, L. P. B. Katehi, and D. Peroulis, “High-power MEMS varactors and impedance tuners for millimeter-wave applications,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 11, pp. 3672–3678, Nov. 2005. [25] V. A. Adamian and P. V. Phillips, “Programmable broadband electronic tuner,” U.S. Patent 5 034 708 A, Jul. 23, 1991. [26] “Modern architecture advances vector network analyzer performance,” Anritsu Company, Atsugi, Japan, White Paper 11410-00812, 2014. [27] Y. P. Zhao, S. Hemour, H. Chen, T. Liu, and K. Wu, “Power-handling capacity and nonlinearity analysis for distributed electronic impedance synthesizer,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 65, no. 4, pp. 1340–1348, Apr. 2018. [28] N. S. Barker, “Distributed MEMS transmission lines,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. Michigan, Ann Arbor, MI, USA, 1999. [29] R. E. Collin, Foundations for Microwave Engineering. New York, NY, USA: Wiley, 2007. [30] W. Zhang, “Nonlinear transmission line technology and applications,” Ph.D. dissertation, Dept. Eng., Electron. Elect., Univ. California, Los Angeles, CA, USA, 1996. [31] D. M. Pozar, Microwave Engineering. New York, NY, USA: Wiley, 2009. [32] W. E. Doherty and R. D. Joos, “The PIN diode circuit designers handbook,” Microsemi Corp., vol. 1, pp. 1–137, 1998. [33] C. Siegel, V. Ziegler, C. Von Wachter, B. Schonlinner, U. Prechtel, and H. Schumacher, “Switching speed analysis of low complexity RF-MEMS switches,” in Proc. German Microw. Conf. (GeMiC), 2006, pp. 28–30. [34] , “Applications of PIN diodes,” Keysight Technol., Santa Rosa, CA, USA, Appl. Note 922, 1997. [35] R. Hassan, B. Cohanim, O. De Weck, and G. Venter, “A comparison of particle swarm optimization and the genetic algorithm,” in Proc. 1st AIAA Multidisciplinary Design Optim. Specialist Conf., 2005, pp. 18–21. [36] “Electroni tuners (ETS) and electro-mechanical tuners (EMT) a critical comparison,” Focus Microw. Inc., Montreal, QC, Canada, Tech. Rep., 1998. [37] J. Sevic, “Introduction to tuner-based measurement and characterization,” Maury Micro. Co., Ontario, ON, Canada, Tech. Rep. 5C-054, 2004. [38] P. Indirayanti, W. Volkaerts, P. Reynaert, and W. Dehaene, “Picosecond pulse generation with nonlinear transmission lines in 90-nm CMOS for mm-wave imaging applications,” in Proc. 19th IEEE Int. Conf. Electron., Circuits Syst, Dec. 2012, pp. 885–888. [39] G. Gonzalez, Microwave Transistor Amplifiers Analysis and Design, 2nd ed. Upper Saddle River, NJ, USA: Prentice-Hall, 1997.
Yangping Zhao (GS’13–M’16) received the M.S. degree in communication and information systems from Ningbo University, Ningbo, China, in 2012. He is currently pursuing the Ph.D. degree in electrical engineering at the Ecole Polytechnique de Montréal, University of Montreal, Montreal, QC, Canada. His current research interests include multiphysics modeling technique for nonlinear devices and circuits, wireless power transmission, electronic impedance synthesizers, the nonlinear transmission line technique, and 2-D electrical and magnetic tuning theory.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO et al.: NONUNIFORMLY DISTRIBUTED EIS
Simon Hemour (S’08–M’11–SM’16) received the B.S. degree in electrical engineering from the University of Grenoble, Grenoble, France, in 2004, and the M.S. degree and Ph.D. degrees in optics, optoelectronics, and microwave engineering from the Grenoble Institute of Technology, Grenoble, in 2006 and 2010, respectively. In 2003, he was a member of the Instrumentation Department, European Organization for Nuclear Research, Geneva, Switzerland, where he was involved with the ATLAS experiment on the large Haddon collided. From 2006 to 2007, he was a Research Assistant with the Pidstryhach Institute of Applied Problems of Mechanics and Mathematics, National Academy of Science of Ukraine, Lviv, Ukraine. In 2007, he joined the IMEP-LAHC MINATEC Laboratory, Grenoble. From 2011 to 2015, he was with the Poly-Grames Research Center, Ecole Polytechnique de Montréal, University of Montreal, Montreal, QC, Canada, where he was leading the Wireless Power Transmission and Harvesting Research Group. In 2015, he joined the University of Bordeaux, Bordeaux, France, where he is currently an Associate Professor and also leading research in wireless microenergy solutions for IoT and biomedical applications. His current research interests include wireless power transfer and hybrid energy harvesting, nonlinear devices, innovative RF measurements, RF interferometry, low-power microwave, and millimeter-wave conversion circuits. Dr. Hemour is a member of the IEEE MTT-26 Wireless Energy Transfer and Conversionž Technical Committee.
Taijun Liu (S’05–M’06–SM’08) received the B.S. degree in applied physics from the China University of Petroleum, Dongying, China, in 1986, the M.Eng. degree in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1989, and the Ph.D. degree from the Ecole Polytechnique de Montréal, University of Montreal, Montreal, QC, Canada, in 2005. He is currently a Professor with the Faculty of Electrical Engineering and Computer Science, Ningbo University, Ningbo, China. His current research interests include nonlinear modeling and linearization of wideband transmitters/power amplifiers and design of ultralinear high-efficiency intelligent power amplifiers for broadband wireless and satellite communication systems.
15
Ke Wu (M’87–SM’92–F’01) received the B.Sc. degree (Hons.) in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, the D.E.A. degree (Hons.) in optics, optoelectronics, and microwave engineering from the Institut National Polytechnique de Grenoble, Grenoble, France, in 1984, and the Ph.D. degree (Hons.) in optics, optoelectronics, and microwave engineering from the University of Grenoble, Grenoble, France, in 1987. From 2002 to 2016, he was the Tier-I Canada Research Chair in RF and Millimeter-Wave Engineering and the NSERC/Huawei Industrial Research Chair in the Future Wireless Technologies with the Ecole Polytechnique de Montréal, University of Montreal, Montreal, QC, Canada, where he was the Director of the Poly-Grames Research Center. He was the Founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratgique of FRQNT). He has also held guest, visiting, and honorary professorships at many universities. He was the founder and co-founder of Paradio Inc. and Purelink Inc., respectively, and has frequently provided consulting services to corporations, governments, and universities worldwide. He has given 500+ invited and distinguished lectures, as well as numerous keynote speeches around the world. He is currently a Professor of electrical engineering with the Ecole Polytechnique de Montréal. He is also with the School of Information Science and Engineering, Ningbo University, Ningbo, China, on leave from his home institution, where he is leading a special 5G and future wireless research program. He has graduated 61+ Ph.D. and 88+ M.Sc. students. He has authored or co-authored 1200+ refereed papers and over 20 books/book chapters. He has filed more than 50 patents. He is among the most cited researchers in the field with 41 834 citations and 86 h-index and 865 i10-index (source: Google Scholar). His current research interests include substrate-integrated circuits, antenna arrays, advanced CAD and modeling techniques, wireless power transmission and harvesting, nonlinear wireless system architectures, the development of low-cost RF, millimeter-wave, and terahertz transceivers and sensors for wireless systems and biomedical applications, and the modeling and design of microwave and terahertz photonic circuits and systems. Dr. Wu is a member of Electromagnetics Academy, the Sigma Xi Honorary Society, the IEEE–Eta Kappa Nu, and the URSI. He is a Fellow of the Canadian Academy of Engineering and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has served on the Editorial/Review Boards for many technical journals, transactions, proceedings, letters, and scientific encyclopedia including as an editor and guest editor. He was an elected IEEE MTT-S AdCom member from 2006 to 2015. He was the Chair of the IEEE MTT-S Transnational Committee, Member and Geographic Activities Committee, and Technical Coordinating Committee among many other AdCom functions. He has held key positions in and has served on various panels and international committees including the Chair of Technical Program Committees, international Steering Committees, and international conferences/symposia. In particular, he was the General Chair of the 2012 IEEE MTT-S International Microwave Symposium (the largest IEEE annual conference with 10 000 attendees and 1000 booths). He is currently the Chair of the IEEE MTT-S Chapter in Montreal. He was the 2016 IEEE MTT-S President. He is the inaugural two-term representative of North America as a member of the European Microwave Association General Assembly. He was an IEEE MTT-S Distinguished Microwave Lecturer from 2009 to 2011. He was the recipient of the First IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada, the 2009 Thomas W. Eadie Medal of the Royal Society of Canada, the Queen Elizabeth II Diamond Jubilee Medal in 2013, the 2013 FCCP Education Foundation Award of Merit, the 2014 IEEE MTT-S Microwave Application Award, the 2014 Marie-Victorin Prize (Prix du Quebec—the highest distinction of Quebec in the natural sciences and engineering), the 2015 Prix dExcellence en Recherche et Innovation of Polytechnique Montreal, and the 2015 IEEE Montreal Section Gold Medal of Achievement. He has been recognized as the father of substrate integrated waveguides and circuits in the world.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Jitter Sensitivity Analysis of the Superconducting Josephson Arbitrary Waveform Synthesizer Christine A. Donnelly , Graduate Student Member, IEEE, Justus A. Brevik , Paul D. Dresselhaus , Peter F. Hopkins , Member, IEEE, and Samuel P. Benz , Fellow, IEEE
Abstract— We present the first jitter sensitivity analysis of a superconducting voltage reference waveform synthesizer with fundamentally accurate output pulses. Successful deployment of a reference waveform source at microwave frequencies will represent a new paradigm for radio frequency metrology. The programmable waveform synthesizer considered in this paper contains a 1.5 bit delta–sigma digital-to-analog converter (DAC) with a sampling frequency of 28 GHz. We quantify the impact of random and deterministic output pulse position jitter (PPJ) on: 1) the amplitude accuracy of the output fundamental tone and 2) the in-band signal-to-noise and distortion ratio (SNDR). The superconducting DAC features a complete lack of output pulsewidth jitter, and random PPJ up to 200 fs rms has a negligible impact on accuracy and SNDR for synthesized tones up to 1 GHz. However, application of nonzero dc bias current is shown to produce deterministic PPJ of up to 5 ps, which, in turn, is shown to degrade the in-band SNDR by 30 dB at 1 GHz unless eliminated with techniques discussed in this paper. We verify the predicted effects of random and deterministic PPJ with simulations in the range of 100 kHz–1 GHz and with experiments in the range of 100 kHz–3 MHz. Index Terms— Delta–sigma modulation, digital-to-analog converter (DAC), jitter, Josephson junction (JJ), superconductivity.
I. I NTRODUCTION
T
HE JOSEPHSON arbitrary waveform synthesizer (JAWS) is a superconducting digital-to-analog converter (DAC) used as the primary ac voltage standard in the audio-frequency spectrum [1], [2]. The JAWS system is assumed to be a “perfect” data converter, receiving a digital input pulse stream and outputting voltage pulses, each having a perfectly quantized and identical time-integrated area of exactly h/2e, where h is Planck’s constant and e is the electron charge.1 Efforts have
Manuscript received April 16, 2018; accepted July 2, 2018. The work of C. A. Donnelly was supported by the National Institute of Standards and Technology under a GMSE Fellowship. (Corresponding author: Christine A. Donnelly.) C. A. Donnelly is with the Superconductive Electronics Group, National Institute of Standards and Technology (NIST), Boulder, CO 80305 USA, and also with the Department of Electrical Engineering, Stanford University, Stanford, CA 94305 USA (e-mail:
[email protected]). J. A. Brevik, P. D. Dresselhaus, P. F. Hopkins, and S. P. Benz are with the Superconductive Electronics Group, National Institute of Standards and Technology (NIST), Boulder, CO 80305 USA (e-mail:
[email protected];
[email protected];
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2856775 1 The ratio of h/2e will become an exact value in May 2019 based on the approved exact values for h and e as part of the redefinition of the International System of Units (SI) [3].
begun at the National Institute of Standards and Technology (NIST) to experimentally demonstrate JAWS performance in the microwave-frequency range. JAWS waveform synthesis has recently been experimentally demonstrated at 100 MHz [4] with plans to extend to over 1 GHz. This paper contains a theoretical study of the effects of output pulse timing jitter, as JAWS is extended to microwave frequencies. The JAWS system is one of the several superconducting circuit approaches for synthesizing voltage reference waveforms. An alternative approach uses a zero-order-hold (ZOH) Nyquist-rate superconducting DAC with quantized voltage steps rather than quantized pulse areas [5], [6]. With this latter approach, jitter in the level transition timing is shown to significantly degrade output accuracy for synthesis above several kilohertz [7], [8]. However, no parallel analysis of jitter sources and jitter sensitivity has yet been reported for JAWS circuits. In this paper, we simulate the JAWS bias signals and device physics to identify mechanisms for pulse position jitter (PPJ) and to quantify the frequency-dependent effects of jitter on JAWS accuracy and spectral purity. Jitter in conventional DACs is a limiting factor for achieving high spurious free dynamic range (SFDR) and signal-to-noise and distortion ratio (SNDR) [9], [10] and arises from sources such as sampling clock phase noise and intersymbol interference (ISI) [11], [12]. The deviation from ideal DAC output is often categorized into errors in pulse position or errors in pulsewidth [13]. When timing jitter is random and white, pulsewidth jitter (PWJ) has the most detrimental effect on in-band noise metrics, because the noise contributed by PPJ is shaped to high frequencies that are typically out of band [14], [15]. For this reason, many designers of nonsuperconducting DAC architectures report extensive efforts to minimize PWJ [16], [17], even at the expense of degraded linearity and increased circuit complexity [15], [18]. When jitter is deterministic, PPJ and PWJ both generate harmonics and spurious tones that degrade in-band noise and distortion metrics [19]. Due to the quantized nature of JAWS output pulse areas, the JAWS system features an intrinsic lack of PWJ in the output pulses; we will show that this leads to superior sensitivity to random timing jitter compared to conventional DAC architectures. However, we have identified and measured a physical mechanism of the JAWS system that causes deterministic PPJ, which has not been previously observed or explained. We report our experimental findings of this deterministic PPJ
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. Schematic of the JAWS system. 1: input w[n] is a three-level delta–sigma encoding of a target output waveform. 2: room-temperature pattern generator synthesizes a series of three-level current pulses based on the programmed digital encoding. 3: input current waveform [Iin (t)] is transferred to a cryogenic superconducting JAWS chip that contains an array of JJs (symbolized by an “X” in circuit diagrams), and that transforms the input current pulses to output voltage pulses with quantized area. 4: output waveform [v P (t)], consisting of a train of JAWS-synthesized pulses, is measured at room temperature using a digitizer or spectrum analyzer, or is used as input to a device under test.
and show excellent corroboration between the measured results and model calculations and simulations. We also suggest methods to eliminate this deterministic PPJ so as to preserve spectral purity for programmable synthesized microwave frequencies. This paper is organized as follows. In Section II, we provide an overview of the JAWS system architecture and its component superconducting devices. In Section III, we discuss deterministic PPJ in the JAWS system. In Section IV, we discuss random output pulse jitter in the JAWS system. Discussion is presented in Section V, and our conclusions are given in Section VI. II. JAWS D EVICE O PERATION A. Operational Description A schematic of the JAWS circuit is shown in Fig. 1. The JAWS DAC converts three-level (1.5 bit) digital encodings of target waveforms into three-level output streams of pulses with quantized area, such that the positive and negative pulses plus the zero pulse condition produce synthesized waveforms of bipolar amplitude. First [see Fig. 1 (Box 1)], an arbitrary waveform is encoded into a three-level (−1, 0, +1) bitstream, here called w[n]. We use a low-pass or bandpass delta–sigma encoding algorithm to move the quantization noise out of band [15]. The bitstream w[n] is stored in the memory of a room-temperature pattern generator. The JAWS system can also be operated as a 1 bit DAC with a two-level (unipolar) w[n] sequence. At operation, the pattern generator converts w[n] to an input current waveform Iin [see Fig. 1 (Box 2)]. For the experiments described in this paper, we use a Keysight M8195A arbitrary waveform generator2 with a clock rate of 28 GHz and an analog bandwidth of 25 GHz. The waveform Iin is transferred, via coaxial cables embedded in a cryogenic probe, to the superconducting JAWS chip that resides at a temperature of ∼4 K in liquid helium [see Fig. 1 (Box 3)]. The JAWS chip, which serves as the DAC core, contains a series-connected array of superconducting 2 Commercial instruments are identified in order to adequately specify the experimental procedure. Such identification does not imply recommendation or endorsement by NIST, nor does it imply that the equipment identified is necessarily the best available for the purpose.
circuit elements known as Josephson junctions (JJs). The JJs are embedded in the center conductor of a coplanar waveguide. The current Iin provides the microwave-frequency input excitation, while Idc is a tunable dc offset current. Most implementations of the JAWS system include additional digital code prefiltering and on-chip analog filtering to isolate the measured output waveform from the input drive; the details of the circuit design and pulse drive are described elsewhere [20]. In response to the input multibit bias signal, the JJs create the waveform v P (t) by producing output pulses that match the encoded polarity of the digital bitstream [see Fig. 1 (Box 4)]. For a specific range of input parameters, the DAC core operates in “quantum-locked” conditions, in which there is one output pulse per JJ per input pulse, and the voltage area of each output pulse is equal to h/2e. The area of every quantized pulse is completely invariant with respect to Iin , Idc , or any other environmental or experimental variables. All the experimental measurements and analyses presented in this paper assume that the JAWS device is operating in quantum-locked conditions. This quantum-locked behavior is what produces the voltage accuracy and waveform purity of the JAWS device output signals. It distinguishes the JAWS DAC from all other DACs. In the absence of jitter, the DAC output waveform v P (t) is described by the convolution of the output pulse P(t) from the full JJ array with the input digital bitstream v P (t) = P(t) ⊗
w[n]δ(t − nT )
(1)
n
where the symbol ⊗ refers to convolution, T is the sampling period defined by the pattern generator, t refers to time, and n is the bit index of the input bitstream w[n]. B. DAC Core and Josephson Junctions The JJs in the JAWS DAC core each consist of two niobium superconducting electrodes, separated by a thin barrier of nonsuperconducting material. In this paper, we deal with junctions that have a noninsulating Nbx Si1−x junction barrier [21]. These JJs have negligible capacitance and are well described by the resistively shunted junction (RSJ) model [22]. The RSJ model is shown in Fig. 2(a). In this model, input excitation current splits between a resistive current branch and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DONNELLY et al.: JITTER SENSITIVITY ANALYSIS OF SUPERCONDUCTING JAWS
3
Idc = Ic sin(ψ) → Idc = Ic sin(ψ + 2π). The 2π change in the junction phase corresponds to an integrated voltage pulse area of V dt = h/2e. This is known as a single flux quantum (SFQ) pulse. Examples of an input current drive pulse and an output SFQ pulse are shown in Fig. 2(b). Because the quantized pulse area given by a single junction is small, the JAWS system uses a series array of N nominally identical JJs, so that the area of the output response is Nh/2e for each input pulse to the array. Single-tone waveforms with rms amplitude up to 2 V have been demonstrated at 1 kHz, using 102,400 JJs in series [23]. For the simulation of JJ dynamics and interactions with other circuit elements, we use a version of SPICE [24] that implements the RSJ JJ model. C. Relevant System Metrics
Fig. 2. (a) RSJ model of a JJ: the device (symbolized by an “X”) is modeled as a resistor (Rs ) in parallel with a superconducting current branch. (b) Output voltage pulse waveform (right) from a single JJ in response to an input current pulse (left). The time integral of V (t) is exactly h/2e.
supercurrent branch. The supercurrent branch can pass a maximum dc current of Ic , known as the critical current. The resistance (Rs ) and Ic are adjustable by the selection of barrier and superconductive electrode materials and by fabrication parameters, such as barrier thickness, niobium concentration, and junction area. The JJs used for this paper are modeled with Rs = 4.5 m and Ic = 7.2 mA, which are the mean values of the experimentally measured JJs in the arrays. The characteristic pulse time of the JJs decreases with increasing Ic Rs product. The current–voltage characteristics of the JJs are given by the Josephson equations [22] Is = Ic sin(ψ) 4πe ψ = V dt h
(2) (3)
where Is is the current through the supercurrent branch and ψ is the junction phase. An external dc input current below Ic is fully shunted by the supercurrent branch, while a time-varying input or an input greater than Ic forces a time-varying potential difference V (t) across the junction. When a JJ is in the quantum-locked conditions, a transient driving current pulse causes the junction phase (proportional to integrated voltage) to change by 2π, as current through the superconducting branch evolves through one period of
The JAWS system is used in a variety of applications, including calibration of rms power within a specified bandwidth, calibration of single-tone and multitone amplitudes, and characterization of nonlinear effects in transmitter and receiver chains and measurement electronics [25], [26]. In particular, the perfect linearity of the programmable output amplitudes is a unique feature of the JAWS system, as is the ability to synthesize multitone signals with stable, repeatable amplitudes and arbitrary ratios. Only single-tone waveforms are considered in this paper and we use the following two metrics to quantify accuracy and spectral purity of these tones. 1) Fundamental Tone Accuracy: The amplitude accuracy of the fundamental tone is defined as any deviation of the output fundamental amplitude from the value programmed in w[n]. Currently, the state-of-the art radio frequency (RF) calibration services based on thermal converters offer an uncertainty of 100 μV/V–1000 μV/V at 1 MHz, and thermistor-referenced power calibrations have a flat uncertainty metric of 2000 μV/V for 10 MHz–1 GHz [27]. We expect the fully developed JAWS system to outperform these metrics. The JAWS system also offers the advantage of spectral selectivity, whereas thermistor-based systems can only integrate the total output power from an RF source. 2) SNDR: We define SNDR in this paper as the ratio of signal power to total noise and distortion power within a ±5 MHz bandwidth of the fundamental tone f 0 , expressed in decibel units. We chose this bandwidth, because the simulations of bandpass delta–sigma encodings described in this paper use a bandwidth of 10 MHz. For f 0 < 5 MHz, we integrate noise power from dc to 10 MHz to calculate SNDR. We use delta–sigma waveform encodings in this paper that have in-band signal-to-quantization-noise ratio (SQNR) of at least 80 dB. Noise and distortion contributed by jitter will ideally remain below the quantization noise level. III. D ETERMINISTIC P ULSE P OSITION J ITTER A. Sources of Deterministic PPJ 1) Nonzero DC Current: The main source of deterministic jitter considered in this paper is polarity-dependent shift in the output pulse timing due to a nonzero Idc through the JJ array.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 3. (a) Voltage-time traces of positive (coarse dashes) and negative (fine dashes) SFQ pulses with positive applied bias, Idc , based on a SPICE model of a single JJ with Ic = 7.2 mA and Rs = 4.5 m. The shaded area of each pulse is h/2e. (b) Simplified PPJ model for the difference between p+ (t) and p− (t). In this model, differences between the pulse shapes due to Idc = 0 are neglected. In both figures, the black solid curves show the shapes of positive and negative pulses when Idc = 0.
When Idc = 0, each input pulse causes a phase winding of each JJ, from ψ = 2π x → ψ = 2π(x + 1). However, if Idc = 0, the JJ phase will instead proceed from 2π x +ψ0 → 2π(x + 1) + ψ0 . According to the dc Josephson equation, ψ0 = sin −1 (Idc /IC ). Within the quantum locking range, the constant offset ψ0 does not affect the quantized SFQ pulse area. It was, therefore, previously assumed that the output waveform variation with respect to Idc should be identically zero [2]. However, we have recently experimentally observed Idc -dependent harmonic generation, which indicates that while variations in Idc do not affect the SFQ pulse area, they do lead to deterministic, polarity-dependent jitter in the SFQ pulse position. SPICE simulations of positive and negative SFQ pulse waveforms from a single JJ ( p+ (t) and p− (t)) with a positive Idc are shown in Fig. 3(a). The symmetry between positive and negative pulse waveforms is broken when Idc = 0. The detailed differences between the shapes of p+ (t) and p− (t) observed in Fig. 3(a) are neglected in our model of PPJ. The simplified PPJ model uses a fixed relative time shift between opposite polarity pulses and ignores Idc -dependent differences in pulse shape, as shown in Fig. 3(b). The relative time shift trel is determined by the relative phase delay between pulses, trel = (φ( f )/2π f ), where φ( f ) is the phase shift between the Fourier components of p+ (t) and p− (t) at frequency f . The pulses shown in the model of Fig. 3(b) have constant relative phase delay at all frequencies. The actual JJ pulses shown in Fig. 3(a) have frequency-dependent phase delay above 1 GHz. As shown in Section III-C, our PPJ model becomes inaccurate above 1 GHz, because it does not include this dispersion effect.
Fig. 4. Various |t| versus Idc curves for different Iin microwave amplitudes. The curve used for SPICE simulations in this paper is indicated with a thick black line.
For the PPJ model, we define t = ±trel /2; this is the advance or delay of a positive or negative pulse when Idc = 0 relative to its position when Idc = 0. In Fig. 4, |t| is plotted as a function of Idc based on SPICE simulations of the JJs. In general, a pulse with polarity that matches the polarity of Idc will experience a slight advance in time (t < 0), and a pulse with opposite polarity to Idc will be delayed (t > 0). The value of t is also sensitive to the input pulse amplitude Iin , which is tuned in experiments to maximize the quantum locking range. The t-versus-Idc curves for several Iin values are shown in Fig. 4. The curve corresponding to Iin pulse amplitude of 25 mA was used for all modeling in
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DONNELLY et al.: JITTER SENSITIVITY ANALYSIS OF SUPERCONDUCTING JAWS
this paper. The JAWS system fails to remain quantum locked at |Idc | 1.2 mA. The value of t grows nonlinearly with Idc near this failure condition, as the SFQ pulse shapes become increasingly distorted relative to their shape at Idc = 0. 2) Other Sources of Deterministic PPJ: At the chip level, nonzero Idc is expected to be the dominant source of jitter that causes deterministic pulse timing errors. However, the roomtemperature input pulse drive electronics are another potential source of deterministic PPJ. For example, deviations from the ideal 50% eye crossing percentage in the input RF amplifier will cause polarity-dependent asymmetry in the input pulse drive. Similar to nonzero Idc , this would cause timing offsets between positive and negative output pulses. The eye crossing level of the amplifier is tuned to maximize the quantum locking range prior to JAWS operation. We use a return-to-zero scheme for the input pulse drive to minimize ISI, which may cause code-density-dependent timing differences in output pulses. However, some ISI may still result in high-amplitude, high-pulse-density input codes. ISI could also arise from attenuation and dispersion of the input pulse drive caused by the input cabling. In our experimental measurements up to 3 MHz, nonzero Idc is the only source of deterministic PPJ to cause observable deviation between the programmed and the expected output spectrum. B. Theoretical Effect of Deterministic PPJ In this section, we use the deterministic PPJ model to simulate trends in amplitude accuracy and SNDR; equations are derived in the Appendix. According to the deterministic PPJ model, the output waveform from the JAWS system can be expressed as the convolution of the JJ array pulse waveform with the time-shifted digital input encoding w[n]δ(t − nT + t (Idc )w[n]) (4) v P,jit (t) = P(t) ⊗ n
where the magnitude of the time shift t (Idc ) is chosen according to the indicated mapping in Fig. 4, and the polarity depends on the polarity of the pulse, encoded in w[n]. Simulations of (4) can be performed quickly and do not require analog circuit modeling, because all changes in the output pulses are transferred to time shifts in the input digital code. To the first order, deterministic polarity-dependent PPJ as defined in (4) causes mixing of the spectrum of the jitter-free waveform v P (t) with itself. The spectral content of v P (t) includes both the fundamental tone f 0 and the digital encoding quantization noise. Mixing generates spurious content at all possible sum and difference frequencies; these deterministic spurs cannot be reduced by longer data acquisition times or averaging. The spurious content results in a loss in amplitude of the programmed tone, thus compromising the expected JAWS voltage accuracy. The effect of deterministic, polarity-dependent PPJ on the original complex magnitude am of a tone at frequency fm in the jitter-free output spectrum is overall expressed as jitter
am −−→ (1 − α( fm ))am ± a,m .
(5)
5
Fig. 5. Decrease in amplitude of the fundamental tone f 0 , as a function of frequency, for various deterministic jitter pulse offset times.
The first term (1 − α( fm ))am is a fractional decrease in amplitude, and dominates at the fundamental tone f 0 , and leads to indeterminate deviation from the programmed output value. The second term a,m is the net result of all quantization noise mixing that generates spurious content at f m and is dominant in magnitude for frequencies f m = f0 within the delta–sigma encoding low-noise bandwidth. 1) Amplitude Loss Due to Deterministic PPJ: The fractional loss in the amplitude of the fundamental tone f 0 due to deterministic, polarity-dependent PPJ is approximately equal to (see the Appendix) J0 (am 2πt f 0 ) (6) α( f0 ) = 1 − fm
where J0 (x) is the Bessel function of the first kind, and the product is taken over all frequency components f m in the ideal output v P (t). The loss in amplitude grows with f 0 , because J0 (x) decreases below one as its input argument grows. In Fig. 5, the simulated loss in the amplitude of the fundamental tone f 0 versus frequency is shown for a range of pulse offset times t. While this loss is calculable for a known t, the experimentally observable parameter is Idc and not t. Any uncertainty in Idc or the Idc -versus-t relationship will thus lead to uncertainty in the output amplitude of the JAWS system. However, even at 1 GHz, Fig. 5 shows that the loss in amplitude is 2 (22) where IIP3 is defined in dBm as [35] ⎛ 2 ⎞ α 4 1 ⎠. IIP3 = 10 log ⎝10 3 α3
(23)
The required IIP2OOB and IIP3OOB for the applications shown in Table I are recalculated using (21) and (22) when LNA is not preceded by any filter (L 1 (dB) = 0), and are shown in Table II. Comparison of the data shown in Table II with that of Table I indicates that out-of-band interferers have previously been underestimated and cannot be ignored in wideband applications. At the same time, the linearity requirements imposed by these interferers can be prohibitively difficult to satisfy, if not impossible. Figs. 4 and 5 show the required IIP2OOB and IIP3OOB of the LNA with respect to the interferer power for various applications in the case where there is no filter preceding the LNA [L 1 (dB) = 0]. Figs. 6 and 7 show the SNR degradation versus power of the blocker located at ωsig /2 and ωsig /3 due to the limited IIP2OOB and IIP3OOB of LNA for GSM850 application, respectively. The state-of-the-art reported IIP2 and IIP3 according to Table I for GSM850 are 80 and 17 dBm, respectively. It can be seen from Figs. 6 and 7 that for these values of IIP2OOB and IIP3OOB, the receiver can only withstand blockers not larger than −30 dBm. 2) Mixer: Assume that the excess noise due to nonlinearity of LNA is entirely below the noise floor of the receiver. In this case, we study the required linearity of the mixer. Let us assume that the input signal to the mixer is as in (8). Following the method, we used for LNA that the required IIP2OOB and
Fig. 6.
SNR degradation versus Pb located at ωsig /2 for GSM850.
IIP3OOB of the mixer can be calculated as IIP2OOB > 2Pb (dBm) + 2L 1 (dB) + 2L 2 (dB)
IIP3OOB
+ 20 log(α1 )− Psen (dBm)+SNRmin (dB) − 6(dB) (24) 1 > [3Pb (dBm) + 3L 1 (dB) + 3L 2 (dB)] 2 1 + [40 log(α1 ) − Psen (dBm) + SNRmin (dB) 2 − 9.5(dB)]. (25)
According to (24) and (25), when there is not any filter preceding the mixer, the required linearity of the mixer is to be larger than that of LNA by the value of 20 log α1 . For instance, if LNA gain is 15 dB, according to Table II, the required IIP3OOB of the mixer for GSM850 and 1900 should be as high as 66 dBm. 3) Baseband: The largest blocker after the mixer (before filtering) is at least at 20-MHz offset from the desired channel,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
the sum of all in-channel noise components to be less than the noise floor as Asen > SNRmin (28) α2 i, j L 1i L 1 j A bi A b j α1 where this sum is calculated on all pairs which satisfy (27b). Using (17) and (28), it can be shown that i, j L 1i L 1 j A bi A b j SNRmin . (29) AIIP2OOB > Asen Fig. 7.
Similarly, due to the third-order nonlinearity, all the interferers satisfying the following conditions fall in the desired channel:
SNR degradation versus Pb located at ωsig /3 for GSM850.
and it can be easily removed by a simple passive lowpass filter. Other frequency components generated from the nonlinearity of the LNA and mixer that are near the desired channel will not be removed by a low-pass filter after the mixer. It should be noted that these frequency components have much lower power compared with those of in-band blockers as defined by standards, since they are generated from LNA and mixer nonlinearities. Therefore, in this case, the linearity of the baseband circuits is set by in-band blockers. B. Multiple Interferers Let us investigate a more realistic environment where several applications coexist. Operation of more than one application in the same environment introduces a variety of interferers that need to be dealt with. Let us use (1) as the primary LNA model, and assume the input for the LNA is given in (11). For simplicity, the interferers are assumed to be single tones. By replacing x L (t) from (11) to (1), y L (t) will be given in (26), shown at the bottom of this page. As can be observed, as a result of the second-order nonlinearity, all the interferers in (26) satisfying the following conditions fall in the desired channel: |ωbi ± ωsig | = ωsig
(27a)
|ωbi ± ωb j | = ωsig
(27b)
where, i, j = 1, 2, . . . , m. Assuming L 1i Abi Asig and considering (26), the components defined in (27a) are much smaller than those defined in (27b) and are therefore ignored. The interferer pairs, L 1i Abi cos(ωbi t) and L 1 j Ab j cos(ωb j t), that satisfy (27b) generate the in-channel excess noise with amplitude α2 L 1i L 1 j Abi Ab j . The IIP2OOB can be expressed by forcing y L (t) = α1 +2
Asig cos(ωsig t) + m k1 =1
+3
m k1 =1
⎛ ⎝ ⎛ ⎝
m
L 1k Abk cos(ωbk t) + α2
k=1 m k2 =1,k2 =k1 m
k2 =1,k2 =k1
(30a) (30b)
|2ωbi ± ωb j | = ωsig |ωbi ± ωb j ± ωbk | = ωsig
(30c) (30d)
where i, j, k = 1, 2, . . . , m. Because of the reasonably low probability of concurrent existence of three out-of-band interferers all with maximum power, assuming L 1i Abi Asig, we can neglect the combinations defined by (30a), (30b), and (30d). Considering (30c), the interferer pairs, L 1i Abi cos(ωbi t) and L 1 j Ab j cos(ωb j t), that satisfy (30c) generate the in-channel excess noise with amplitude (3/4)α3 L 1i 2 L 1 j A2bi Ab j . By taking the sum of all in-channel excess noise components as less than the noise floor of the receiver, the receiver must satisfy 3 α3 4 α1
Asen
i, j
L 1i 2 L 1 j A2bi Ab j
> SNRmin .
(31)
Considering AIIP3 = ((4/3)(α1 /α3 ))1/2 from (31), AIIP3OOB can be calculated as 2 2 i, j L 1i L 1 j A bi A b j SNRmin (32) AIIP3OOB > Asen where the above sum is calculated on all pairs that satisfy condition (30c). Similarly, the required linearity of the mixer for concurrent applications is equal to that of the linearity of the LNA plus the LNA gain. C. Effects of Noise Figure on the Required Linearity Based on the blocking test, the excess noise due to the blockers with respect to the input remains equal to or less than
A2sig cos2 (ωsig t) + ⎞⎞
L 1k1 L 1k2 Abk1 Abk2 cos(ωbk1 t) cos(ωbk2 t)⎠⎠ + α3 ⎞⎞ L 1k1 2 L 1k2 A2bk Abk2 cos2 (ωbk1 t) cos(ωbk2 t)⎠⎠ 1
|2ωbi ± ωsig | = ωsig |ωbi ± 2ωsig | = ωsig
m
L 1k 2 A2bk cos 2 (ωbk t)
k=1
A3sig cos 3(ωsig t) +
m
L 1k 3 A3bk cos 3 (ωbk t)
k=1
(26)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RASEKH AND SHARIF BAKHTIAR: EFFECT OF OUT-OF-BAND BLOCKERS
Fig. 8.
Improvement in out-of-band linearity of the receiver versus NF.
the receiver noise floor as defined by standards. Therefore, for a receiver with noise figure (NF) lower than NFstandard , we must have 2 2 = Nstandard (dBm) + 3 dB 10 log 10 VF −new + VB−noise (33) where VF −new is the equivalent voltage for the receiver noise floor and V B−noise is the excess noise voltage due to the blockers. The relationship between VF −new and receiver NF is 2
VF−new = 10
(Psen (dBm)−SNRmin (dB)−NFstandard (dB)+NF(dB)) −1 10
.
(34)
From (5), the worst case for the second-order nonlinearity 2 (ωb = ωsig /2 or ωb = 2ωsig ) VB−noise is 2
VB−noise =
α2 L 1 2 Ab 2 2α1
2 .
(35)
Substituting (16), (34), and (35) into (33) and then using (20) yield (36), shown at the bottom of this page. Similarly, the required IIP3OOB is limited to (37), as shown at the bottom of this page. Fig. 8 shows the subsequent improvement for the required IIP2OOB and IIP3OOB when NF is less than NFstandard . As can be observed from Fig. 8, in extreme case when the receiver is noiseless, i.e., NF = 0 dB, the requirements for IIP2OOB and IIP3OOB are relaxed by only 3 and 1.5 dB, respectively. Therefore, one can conclude that the receiver NF has no significant impact on the required out-of-band linearity of the receiver. V. R ECIPROCAL M IXING W ITH LO P HASE N OISE A. Single Blocker Let us assume that the input signal to the receiver is given in (3). Considering only the desired signal and the largest interferer, the input signal of the mixer will be as in (8). Reciprocal mixing of LO PN and blockers introduces excess noise in the desired channel, as shown in Fig. 1. The excess
7
Fig. 9. SNR degradation versus Pb located at 20-MHz offset from the desired channel for GSM850.
noise must be lower than the receiver noise floor as set forth by the given standards. Therefore, one can write [6] PN(ω)(dBc/Hz) + Pb (dBm) + L 1 (dB) + L 2 (dB) + 10 log(BW) < Nstandard (dBm). (38) In conventional narrowband receivers with an SAW filter, outof-band blockers are well attenuated, leaving the worst case PN to be determined by in-band blockers. In wideband SAWless receivers, however, out-of-band blockers creep into the system and deteriorate the PN requirement of the receiver. For instance, in a narrowband GSM receiver, SAW filter attenuation is about 20 dB (L 1 ≈ −20 dB) for the 0-dBm blocker at the offset of 20 MHz from the desired channel, and PN (20 MHz) is approximately −144 dBc/Hz. Without this SAW filter, and assuming that L 1 (dB) + L 2 (dB) = 0 dB, PN (20 MHz) is to be −164 dBc/Hz. Table III illustrates the PN requirements for a receiver with and without SAW filter for various standards based on (38) with the assumption that L 1 (dB) + L 2 (dB) = 0 dB (e.g., in mixer-first receivers). Fig. 9 shows the SNR degradation versus power of the blocker located at 20-MHz offset from the desired channel for the GSM850 application. According to Figs. 6, 7, and 9, one can conclude that a limited out-of-band linearity has more sever degradation effect compared with the reciprocal mixing of blocker with LO PN. It should be noted that when specifying the required LO PN of the receiver, both in-band and outof-band blockers are to be considered, and the one with the stricter PN is assumed to be the corresponding LO PN, that is, the required PN of an oscillator is obtained by comparing all the PN constraints imposed by all the existing blockers as PN(ωi ) = min{[PN(ωk1 ) × ωk1 2 ], [PN(ωk2 ) × ωk2 2 ], . . .}. (39) For example, for GSM1900, satisfying the requirement for the in-band blocker at 3-MHz offset would adequately satisfy the
NF(dB)−N FStandard (dB) 10 IIP2OOB (dBm) > 2Pb (dBm) + 2L 1 (dB) − Psen (dBm) + SNRmin (dB) − 6(dB) − 10 log 2 − 10 NF(dB)−NFstandard (dB) 10 3Pb (dBm) + 3L 1 (dB) − Psen (dBm) + SNRmin (dB) − 9.5(dB) − 10 log 2 − 10 IIP3OOB > 2
(36) (37)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE III PN R EQUIREMENT FOR A R ECEIVER W ITH /W ITHOUT SAW F ILTER IN VARIOUS S TANDARDS
component of square wave yields E k (ω) = ak [sin(kωLO t) cos(kϕn (t)t)+cos(kωLO t) sin(kϕn (t))]. (41) and since ϕn (t) is negligibly small, (41) can be simplified as sin k Dπ [sin(kωLO t) + kϕn (t) cos(kωLO t)] (42) k sin Dπ From (42), the noise power of the kth harmonic at the offset of ω from the desired channel is (sin k Dπ/Sin Dπ )2 times the noise power of the first harmonic. For example, for a square wave with duty cycle D = 0.5, the noise power of all the odd harmonics are the same. Therefore, it is safe to assume that, in the worst case, there are blockers with the corresponding power of Pb−i at the offset of ω from LO harmonics. The PN requirement will then be as shown in (43), at the bottom of this page, where n is the maximum number of harmonics within the receiver bandwidth. For example, consider a receiver that operates up to 6 GHz and assume that LO can be represented as a square wave with D = 0.5. With the GSM850 standard in mind, let us also assume that 0-dBm blockers are located at the offset of 20 MHz from the first, third, and fifth harmonics and L 1 (dB) + L 2 (dB) = 0 dB Using (43), the PN requirement will then be −169 dBc/Hz, which is 5 dB lower than the requirement achieved for singletone blocker. Therefore, simultaneous compliance of multiple standards will place more stringent conditions on the PN requirement. E k (ω) =
Fig. 10.
Reciprocal mixing of PN of LO harmonics by multiple blockers.
specified requirement for the out-of-band blocker at 80 MHz assuming a typical PN characteristic. On the contrary, for GSM850, the out of-of-band blocker at 20-MHz offset imposes stricter PN compared with the in-band blocker at 3-MHz offset. B. Multiple Blockers Let us now consider an environment where several applications coexist. Let us also assume that the LO signal has different harmonics. As shown in Fig. 10, the reciprocal mixing of LO harmonics by different blockers adds additional noise to the desired channel. We now aim to find the PN requirement for this scenario. Assuming that LO is a square wave with associated duty cycle D, the frequency spectrum of this wave with PN can be shown as ∞ ak sin(k(ωLO + ϕn (t))t) (40) E(ω) = k=1
where ϕn (t) is the oscillator PN and ak = (sin k Dπ /k sin Dπ ) is the kth harmonic amplitude. Expansion of the kth
dBc PN(ω) Hz
C. Effect of Noise Figure on the Required Phase Noise For simplicity, let us assume a single blocker. Similar to the procedure employed in (33)–(35), the PN requirement for a receiver with NF lower than NFstandard is given in (44), as shown at the bottom of this page. Based on (44), in the extreme case when the receiver is noiseless, only a 3-dB improvement in the PN requirement is achieved. Therefore, we again come to the conclusion that the corresponding NF of the receiver does not significantly affect the PN requirement of the receiver. VI. H ARMONIC M IXING A. Single Blocker Let us assume that the input signal to the receiver is given in (3). Considering only the desired signal and the largest interferer, the input signal of the mixer will be as in (8). Outof-band blockers, located at the harmonics of LO, all directly down convert to the desired channel in the baseband. Let us assume that the LO signal is a square wave with duty cycle D.
< NStandard (dBm) − 10 log BW − 10 log
n sin k Dπ 2 k=1
sin Dπ
× 10
L 1 (dB)+L 2 (dB)+Pb−k (dBm) k k 10
(43)
NF(dB)−NFStandard (dB) 10 (44) PN(ω)(dBc/Hz) < NStandard (dBm) − Pb (dBm) − L 1 (dB) − L 2 (dB) − 10 log BW + 10 log 2 − 10
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RASEKH AND SHARIF BAKHTIAR: EFFECT OF OUT-OF-BAND BLOCKERS
Fig. 11.
HR3 versus Pb for various standards.
9
Fig. 12.
HR5 versus Pb for various standards.
The corresponding frequency spectrum of this square wave is then ∞ ak sin(kωLO t) (45) E(ω) = k=1
where ak = (sin k Dπ /k sin Dπ ). The blocker at the nth harmonic of LO can be expressed as b sin(nωLO ). Mixing of the nth harmonic of LO by the blocker will add extra noise to the desired channel in the baseband. This excess noise can be expressed as Excessnoise(dBm) = Pb (dBm) + 20 log(α1 β1 ) + L 1 (dB) + L 2 (dB) + 20 log an (46) which must be lower than the noise floor determined by the standard. Therefore, the minimum required harmonic rejection of the nth harmonic of LO (denoted by HRn ) will be HRn (dB) > Pb (dBm) + L 1 (dB) + L 2 (dB) + 20 log an − NStandard (dBm). (47) Let us assume a1 = 1 and D = 0.5 with no filter preceding the mixer. Figs. 11 and 12 show the required HR3 and HR5 versus interferer power according to various standards. According to standard GSM850, if a 0-dBm blocker is located at the third harmonic of LO, in order to detect the desired signal, HR3 from (47) must be at least 95 dB. The state-of-the-art harmonic rejection receivers attenuate the third harmonic of LO by 40–80 dB which still falls short by 15 dB [37]. Fig. 13 shows the SNR degradation versus power of the blocker located at the third harmonic of LO for GSM850. Fig. 13 also shows that mixing with LO harmonics can severely degrade the receiver performance, implying that harmoinic rejection is inevitable in wideband SDR receivers. B. Multiple Blockers If there is more than one blocker at various harmonics of LO, the excess noise will then be Excessnoise(dBm) n 20 log(α1 β1 )+L 1 (dB)+L 2 (dB)+Pb−k (dBm) k k 10 10 (48) = 10 log k=2
where Pb−k (dBm) is the blocker power located at the kth harmonic of LO. The excess noise must be lower than the
Fig. 13. SNR degradation versus Pb located at the third harmonic of LO for GSM850.
noise floor determined by the standard. One can show that in the worst case, for the same power of 0 dBm for all blockers, HRn will only be further constrained by less than 3 dB. Therefore, coexistences of blockers do not significantly degrade the required HRn . C. Effect of Noise Figure on the Required Harmonic Rejection of LO Similar to Section IV, for a receiver with NF lower than NFstandard , (47) can be written as HRn (dB) > Pb (dBm) + L 1 (dB) + L 2 (dB) + 20 log an NF(dB)−NFStandard (dB) 10 . (49) − NStandard (dBm) + 10 log 2 − 10 Based on (49), in the extreme case when the receiver is noiseless, only a 3-dB improvement in HRn requirement is realized. Therefore, we again come to the conclusion that the corresponding NF of the receiver does not significantly affect the HRn requirement of the receiver. VII. D ESIGN C ONSIDERATIONS When the input SAW filter is removed, the receiver is exposed to a wide spectrum of blockers and interferers as discussed in the previous sections. This imposes the linearity constraints that are extremely difficult to meet, if not impossible. We can still alleviate the problem by placing a tunable bandpass filter at the input. The filter is easily realizable but with low Q. In this section, we show that the receiver linearity requirements can be relaxed to a reasonable extent in the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 14. Required PB1dB−LNA and PB1dB−Mixer versus Q of the input and intermediate filters for 0-dBm blocker located at 20-MHz offset from the desired signal in GSM850.
Fig. 15.
Required IIP2OOB of LNA versus Q for ωb = ωsig /2.
Fig. 16.
Required IIP3OOB of LNA versus Q for ωb = ωsig /3.
presence of this input filter. We also show that the intermediate bandpass filter at the input of the mixer may also help to ease the design constraints in some cases. Let us start with the impact of the input filter on the required PB1dB−LNA . It can be shown that for a second-order bandpass RLC circuit with a center frequency of ωsig , attenuation of a signal with frequency ω is expressed as |L ( j ω) | =
ω ωsig
2 2 2 2 Q 1 − ωωsig + ωωsig
(50)
where Q is the quality factor of the filter. Let us also consider the out-of-band blocker of GSM with the power of 0 dBm at the offset of 20 MHz from the desired signal. SAW filters attenuate this blocker about 20 dB [6] implying a Q of about 200 and the corresponding PB1dB−LNA of about −17 dBm easily satisfied by a typical LNA. The required PB1dB−LNA as a function of Q of the RLC filter is shown in Fig. 14. As can be seen, input filters with effective Q up to 30 do not show a significant effect on the required PB1dB−LNA . Now, let us assume that in addition to the input filter, a similar bandpass filter is interposed between the LNA and the mixer. Let us further assume that the LNA gain is, say, 15 dB and PB1dB−LNA is higher than 3 dBm. In this case, the required PB1dB−Mixer is shown in Fig. 14. It is clear from Fig. 14 that even with two input and intermediate filters with the quality factor of 30, the required PB1dB−Mixer must be greater than 10 dBm. Let us now study the impact of the input filter on the required IIP2OOB and IIP3OOB of the LNA. The frequency response of the filter is as in (50). It can be seen from (21) and (22) that if the out-of-band blocker is attenuated by |L( j ω)|(dB) , the required single tones IIP2OOB and IIP3OOB will be relaxed by as much as 2|L( j ω)|(dB) and 1.5|L( j ω)|(dB) , respectively. As previously explained, the stringent case for IIP2OOB and IIP3OOB arises when the blockers are located at ωsig /2 and ωsig /3, respectively. Fig. 15 shows the required single tone IIP2OOB of LNA as a function of the Q of the input filter for ωb = ωsig /2, and Fig. 16 shows the required single tone IIP3OOB of LNA as a function of the same for ωb = ωsig /3. The power of blockers is assumed, as shown in Table I. According to Figs. 15 and 16,
if a filter with Q = 10 is placed at the input of LNA, the required single tones IIP2OOB and IIP3OOB will be relaxed considerably and LNA will be easily realizable. This filter also lowers the required single tone IIP3OOB of the mixer to 22 dBm which is readily realizable with passive mixers. For concurrent applications as shown in the following example, one can conclude that using a tunable filter with a quality factor of 10 at the input of the receiver also relaxes two tones IIP2OOB and IIP3OOB. Example: Let us assume a receiver to support the following applications: 1) GSM850/1800; 2) 3G2100 and 4G700 LTE; 3) WLAN a/b/g; 4) DVB-H. What is the minimum required IIP2OOB and IIP3OOB of LNA for proper operation of this receiver? When the receiver is receiving a signal from any of the above applications, the signals from all the other applications listed previously are considered as interferers. The amplitudes of the interferers are assumed to be maximum as defined by the associated application standards. We then find all pairs of interferers which satisfy the given conditions in (27b) (for IIP2 calculation) and (30c) (for IIP3 calculation). Amplitudes of these interferes are given in Table IV. Since these interferers can reside anywhere within their associated frequency band, a number of interferer pairs may be found that satisfy (27b) or (30c). A MATLAB program was developed to generate all possible combinations that fall within each desired channel. Table V shows the dominant pair of undesirable combinations for a given application and the associated required IIP2OOB and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RASEKH AND SHARIF BAKHTIAR: EFFECT OF OUT-OF-BAND BLOCKERS
11
TABLE IV P OWER OF S INGLE -T ONE I NTERFERERS IN THE R ELEVANT BAND A CCORDING TO THE S TANDARDS
IIP3OOB of the LNA from (29) and (32) to preserve the receiver sensitivity in the presence of these interferers. Two cases are considered in Table V: one without any filter at the input of LNA and the other with a filter (Q = 10) at the input of LNA. The significant effect of the input filter with Q as low as 10 can be easily seen from Table V. The requirements for harmonic rejection (HRn ) may be relaxed when input and intermediate filters are used. Let us assume that there is a bandpass tunable filter with a Q of 10 at the input of LNA. It can then be shown from (47) and (50) that the required HR3 and HR5 are reduced by 28.5 and 33.6 dB, respectively. An additional filter between LNA and mixer will provide further reductions of 28.5 and 33.6 dB, respectively. The significant tolerance achieved with the use of these filters often eliminates the need for power-consuming harmonic rejection circuits. These observations lead us to several design insights that may be summarized as follows. 1) Removing the SAW filter imposes a high-linearity constraint on LNA. This is why LNA is removed in the mixer-first receiver method [21]–[26] although at the expense of noise degradation and LO to antenna leakage. 2) To prevent receiver saturation, the gain of LNA may be lowered. This may improve linearity at the cost of increased NF. It can be shown that when a large out-ofband blocker enters the receiver, the overall SNR will be a function of both NF and linearity. This tradeoff between noise and linearity does not always result in an improved SNR if the NF deteriorates too much. It is also to be noted that when a large blocker accompanies a very small desired signal, this method may cause very low, out of proportion SNR. 3) A tunable bandpass filter with a moderately low quality factor of 10 at the input of the receiver greatly relaxes the required single tone and two tones IIP2OOB and IIP3OOB. This programmable filter, such as N-path filter, can be integrated on the same chip avoiding external components.
Fig. 17.
Measured wideband SDR receiver.
Fig. 18.
Schematic of LNA.
4) Due to fairly high-linearity requirements, passive mixers are preferred over their active counterpart. 5) Harmonic rejection methods should be applied in wideband SAW-less SDR receivers. This may be avoided, and the power may be saved when bandpass filters with a moderately low quality factor of 10 at the input of the LNA and the mixer are used. VIII. M EASUREMENTS A wideband SAW-less SDR receiver fabricated in 0.18-μm CMOS is used to verify the derivations and results of this paper. The receiver is comprised of LNA, mixer, low-pass filter, and baseband circuits. There are no filters at the input or between the LNA and mixer. The LO is external to the chip and the frequency range of the receiver is from 100 MHz to 6 GHz. To study all the negative effects of out-of-band blockers on the performance of the receiver, GSM850 is chosen as the test vehicle. The receiver chain and its parameters are shown in Fig. 17. The schematics of LNA and mixer are shown in Figs. 18 and 19, respectively. The simulated NF of LNA (S-parameter analysis with noise included) is √ 6.3 dB, and the simulated output noise of mixer is 8.5 nV/ Hz at 100 kHz. The total measured NF of receiver is 7.4 dB. The cutoff frequency of a baseband filter after the mixer is 200 kHz, and out-of-band blockers are well attenuated beyond this filter. Therefore, the out-of-band linearity of baseband amplifiers and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE V D OMINANT PAIRS OF THE I NTERFERERS T HAT S ATISFY (27b) AND (30c)
Fig. 19.
Schematic of mixer.
filters is not of concern. In all measurement scenarios, SNR degradation is SNRdegradation(dB) = [Signalwithout-blocker(dB) − Signalwith-blocker(dB)]
Fig. 20.
Test setup.
where Av and BW are the total gain and bandwidth of the desired signal, respectively. To verify the proposed model and formulas, we first extracted αi and βi from a measured gain, IIP3 and IIP2 of LNA, and mixer according to (19) and (23). Then, we compared the SNR degradation obtained from formulas and measurement. Several test setups are used for measurements, and one of them is shown in Fig. 20.
Fig. 21.
Gain drop versus the power of blocker.
A. Gain Compression
B. Intermodulation
To study the gain compression, a −30-dBm signal accompanied by a 0-dBm out-of-band blocker at 20-MHz offset is applied to the receiver. According to (2) and (5), the desired signal gain of the receiver’s front end, including the mixer, is 2 3 3 3 2 2 2 Ab α1 + α3 Ab . Gain = β1 + β3 α1 + α3 Ab 2 4 2
An out-of-band interferer at 440 MHz is applied to the receiver. Because of the second-order nonlinearity of the receiver, this interferer adds an excess noise at 880 MHz on the desired channel. Fig. 23 shows the SNR degradation as a function of the power of interferer based on the theoretical model and the measurements. For the third-order nonlinearity, an out-of-band interferer located at 293 MHz is applied to the receiver. This interferer adds an excess noise to the
Gain-drop(dB)
− [NFwithout-blocker(dB) − NFwith-blocker(dB)]
(51)
where NFwithout-blocker(dB) is just the total NF of the receiver and NFwith-blocker(dB) is NFwith-blocker(dB) NFwithout-blocker (dB) (excess noise)2 10 = 10 log + 10 4KTRs (Av )2 · BW
(52)
(53)
This is plotted against the blocker power in Fig. 21. The measured gain is also shown in Fig. 21. It can be seen that the theoretical model accurately predicts the gain compression effect. Fig. 22 shows the measured SNR degradation versus the power of the blocker.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RASEKH AND SHARIF BAKHTIAR: EFFECT OF OUT-OF-BAND BLOCKERS
Fig. 22.
Measured SNR degradation versus the power of blocker.
Fig. 23. SNR degradation versus Pb for ωb = ωsig /2 in GSM850 due to the second-order nonlinearity.
13
Fig. 25. SNR degradation caused by PN versus the power of blocker for GSM850.
Fig. 26. SNR degradation versus the power of blocker located at ωb = 3ωLO for GSM850.
IX. C ONCLUSION
Fig. 24. SNR degradation versus Pb for ωb = ωsig /3 in GSM850 due to the third-order nonlinearity.
desired channel and increases the receiver noise floor. Fig. 24 shows the SNR degradation against the power of the interferer according to the theoretical model and as derived from the measurements. C. PN An out-of-band blocker at the offset of 20 MHz from the desired signal is applied to the receiver. The PN of the oscillator is −171 dBc/Hz at the offset of 20 MHz. Fig. 25 shows the SNR degradation versus the power of the blocker for the theoretical model and the measurements. Figs. 23–25 show that intermodulation has a more significant effect on the performance of the receiver compared to PN. D. Harmonic Mixing An out-of-band interferer located at the third harmonic of the LO is applied to the receiver. Mixing with the third harmonic of LO, this interferer adds an excess noise to the desired channel. Fig. 26 shows the SNR degradation versus the power of interferer for the theoretical model and the measurements. It can be seen that this phenomenon is potentially very harmful in wideband SAW-less receivers and must be avoided.
It has been shown that the effects of interferers and blockers on a wideband receiver cannot be ignored and is much more severe than that of a narrowband receiver with an SAW filter at the input. In narrowband receivers, out-of-band blockers and interferers are attenuated by the SAW filter at the input. In wideband receivers, however, these undesirable signals are not attenuated, and due to the nonlinearity of the system, their corresponding harmonics or combinations may fall well within the receiving band. It has also been shown that the presence of these undesirable signals results in a significant reduction of the receiver sensitivity. Moreover, extremely high linearity is required to preserve the receiver sensitivity. The required 1-dB compression point and the second- and third-order outof-band linearities of an SAW-less wideband receiver were calculated. Finally, it has been shown that, coexistence of outof-band blockers will place more stringent limitations for PN requirement, and the blockers located at harmonics of LO can add considerable noise to the desired channel by mixing with LO harmonics. Because of this, the application of harmonic rejection methods can be beneficial. It is shown that placing a tunable RLC filter (or n-path filters and so on) with a quality factor as low as 10 significantly relaxes the required out-of-band IIP2 and IIP3 requirements and the need for harmonic rejection. Near out-of-band blockers are not adequately attenuated by this input filter and may cause gain compression and reciprocal mixing with LO PN. Because of this, the LNA should have a high out-of-band P1−dB in the order of 3 dBm and the LO should have an acceptable PN (better than −179 dBc/Hz at the offset of 20 MHz for GSM assuming 15-dB gain for the LNA). It is also shown
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
that an intermediate filter between LNA and mixer relaxes the harmonic rejection constraint of the receiver. R EFERENCES [1] J. Mitola, “The software radio architecture,” IEEE Commun. Mag., vol. 33, no. 5, pp. 26–38, May 1995. [2] M. Brandolini, P. Rossi, D. Manstretta, and F. Svelto, “Toward multistandard mobile terminals—Fully integrated receivers requirements and architectures,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 3, pp. 1026–1038, Mar. 2005. [3] B. Bakkaloglu, P. Fontaine, A. N. Mohieldin, S. Peng, S. J. Fang, and F. Dulger, “A 1.5-V multi-mode quad-band RF receiver for GSM/EDGE/CDMA2K in 90-nm digital CMOS process,” IEEE J. SolidState Circuits, vol. 41, no. 5, pp. 1149–1159, May 2006. [4] O. E. Erdogan et al., “A single-chip quad-band GSM/GPRS transceiver in 0.18 μm standard CMOS,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, vol. 1, Feb. 2005, pp. 318–601. [5] V. Giannini et al., “A 2-mm2 0.1–5 GHz software-defined radio receiver in 45-nm digital CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3486–3498, Dec. 2009. [6] C.-Y. Yu et al., “A SAW-less GSM/GPRS/EDGE receiver embedded in 65-nm SoC,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3047–3060, Dec. 2011. [7] J. Kim and J. Silva-Martinez, “Low-power, low-cost CMOS directconversion receiver front-end for multistandard applications,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2090–2103, Sep. 2013. [8] I. Fabiano, M. Sosio, A. Liscidini, and R. Castello, “SAW-less analog front-end receivers for TDD and FDD,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 3067–3079, Dec. 2013. [9] M. Ramella, I. Fabiano, D. Manstretta, and R. Castello, “A SAW-less 2.4-GHz receiver front-end with 2.4-mA battery current for SoC coexistence,” IEEE J. Solid-State Circuits, vol. 52, no. 9, pp. 2292–2305, Sep. 2017. [10] A. Mirzaei, H. Darabi, A. Yazdi, Z. Zhou, E. Chang, and P. Suri, “A 65 nm CMOS quad-band SAW-less receiver SoC for GSM/GPRS/EDGE,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 950–964, Apr. 2011. [11] A. Mirzaei, M. Mikhemar, D. Murphy, and H. Darabi, “A 2 dB NF receiver with 10 mA battery current suitable for coexistence applications,” IEEE J. Solid-State Circuits, vol. 49, no. 4, pp. 972–983, Apr. 2014. [12] K. B. Östman et al., “A 2.5-GHz receiver front-end with Q-boosted postLNA N -path filtering in 40-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2071–2083, Sep. 2014. [13] Z. Lin, P.-I. Mak, and R. P. Martins, “Analysis and modeling of a gainboosted N-path switched-capacitor bandpass filter,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 9, pp. 2560–2568, Sep. 2014. [14] A. Ghaffari, E. A. M. Klumperink, and B. Nauta, “Tunable N-path notch filters for blocker suppression: Modeling and verification,” IEEE J. Solid-State Circuits, vol. 48, no. 6, pp. 1370–1382, Jun. 2013. [15] J. W. Park and B. Razavi, “Channel selection at RF using miller bandpass filters,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 3063–3078, Dec. 2014. [16] K. B. Östman et al, “Analysis and design of N-path filter offset tuning in a 0.7–2.7-GHz receiver front-end,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 1, pp. 234–243, Jan. 2015. [17] H. Darabi, “A blocker filtering technique for SAW-less wireless receivers,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2766–2773, Dec. 2007. [18] T. D. Werth, C. Schmits, R. Wunderlich, and S. Heinen, “An active feedback interference cancellation technique for blocker filtering in RF receiver front-ends,” IEEE J. Solid-State Circuits, vol. 45, no. 5, pp. 989–997, May 2010. [19] S. Youssef, R. van der Zee, and B. Nauta, “Active feedback technique for RF channel selection in front-end receivers,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3130–3144, Dec. 2012. [20] M. Kaltiokallio, V. Saari, S. Kallioinen, A. Parssinen, and J. Ryynanen, “Wideband 2 to 6 GHz RF front-end with blocker filtering,” IEEE J. Solid-State Circuits, vol. 47, no. 7, pp. 1636–1645, Jul. 2012. [21] C. Andrews and A. C. Molnar, “A passive mixer-first receiver with digitally controlled and widely tunable RF interface,” IEEE J. SolidState Circuits, vol. 45, no. 12, pp. 2696–2708, Dec. 2010. [22] M. Kaltiokallio, R. Valkonen, K. Stadius, and J. Ryynanen, “A 0.7–2.7-GHz blocker-tolerant compact-size single-antenna receiver for wideband mobile applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3339–3349, Sep. 2013.
[23] C. Andrews, L. Diamente, D. Yang, B. Johnson, and A. Molnar, “A wideband receiver with resonant multi-phase LO and current reuse harmonic rejection baseband,” IEEE J. Solid-State Circuits, vol. 48, no. 5, pp. 1188–1198, May 2013. [24] D. Yang, H. Yüksel, and A. Molnar, “A wideband highly integrated and widely tunable transceiver for in-band full-duplex communication,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1189–1202, May 2015. [25] Y. Xu and P. R. Kinget, “A switched-capacitor RF front end with embedded programmable high-order filtering,” IEEE J. Solid-State Circuits, vol. 51, no. 5, pp. 1154–1167, May 2016. [26] C. Wu, Y. Wang, B. Nikoli´c, and C. Hull, “An interference-resilient wideband mixer-first receiver with LO leakage suppression and I/Q correlated orthogonal calibration,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1088–1101, Apr. 2016. [27] D. Murphy et al., “A blocker-tolerant, noise-cancelling receiver suitable for wideband wireless applications,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 2943–2963, Dec. 2012. [28] J. Zhou, A. Chakrabarti, P. R. Kinget, and H. Krishnaswamy, “Lownoise active cancellation of transmitter leakage and transmitter noise in broadband wireless receivers for FDD/Co-existence,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 3046–3062, Dec. 2014. [29] D. Murphy, H. Darabi, and H. Xu, “A noise-cancelling receiver resilient to large harmonic blockers,” IEEE J. Solid-State Circuits, vol. 50, no. 6, pp. 1336–1350, Jun. 2015. [30] I. ud Din, J. Wernehag, S. Andersson, S. Mattisson, and H. Sjoland, “Wideband saw-less receiver front-end with harmonic rejection mixer in 65-nm CMOS,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 5, pp. 242–246, May 2013. [31] B. van Liempd et al., “A 0.9 V 0.4–6 GHz harmonic recombination SDR receiver in 28 nm CMOS with HR3/HR5 and IIP2 calibration,” IEEE J. Solid-State Circuits, vol. 49, no. 8, pp. 1815–1826, Aug. 2014. [32] X. Zhang, B. Chi, and Z. Wang, “A 0.1–1.5 GHz harmonic rejection receiver front-end with phase ambiguity correction, vector gain calibration and blocker-resilient TIA,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 4, pp. 1005–1014, Apr. 2015. [33] Z. Ru, N. A. Moseley, E. A. M. Klumperink, and B. Nauta, “Digitally enhanced software-defined radio receiver robust to out-of-band interference,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3359–3375, Dec. 2009. [34] I. Madadi, M. Tohidian, K. Cornelissens, P. Vandenameele, and R. B. Staszewski, “A high IIP2 SAW-less superheterodyne receiver with multistage harmonic rejection,” IEEE J. Solid-State Circuits, vol. 51, no. 2, pp. 332–347, Feb. 2016. [35] B. Razavi, RF Microelectronics (Prentice-Hall Communications Engineering and Emerging Technologies Series From Ted Rappaport), 2nd ed. Upper Saddle River, NJ, USA: Pearson, 2012. [Online]. Available: https://cds.cern.ch/record/1441651 [36] I. Fabiano, “2G-3G SAW-less analog front-end,” Ph.D. dissertation, Dept. Elect., Comput. Biomed. Eng., Univ. Pavia, Pavia, Italy, 2014. [37] D. Im, H. Kim, and K. Lee, “A broadband CMOS RF front-end for universal tuners supporting multi-standard terrestrial and cable broadcasts,” IEEE J. Solid-State Circuits, vol. 47, no. 2, pp. 392–406, Feb. 2012. Amirhossein Rasekh (S’13) received the B.Sc. and M.Sc. degrees in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2011 and 2013, respectively, where he is currently pursuing the Ph.D. degree at the ISDL Group. His current research interests include baseband and RF CMOS analog circuits.
Mehrdad Sharif Bakhtiar (M’82–SM’16) received the Ph.D. degree from the University of California at Los Angeles, Los Angeles, CA, USA. He has been a Consultant to a number of industries. He is currently an Associate Professor with the Sharif University of Technology, Tehran, Iran.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
An Ultralow-Power RF Wireless Receiver With RF Blocker Energy Recycling for IoT Applications Omar Elsayed , Student Member, IEEE, Mohamed Abouzied , Member, IEEE, Vaibhav Vaidya, Member, IEEE, Krishnan Ravichandran, Member, IEEE, and Edgar Sánchez-Sinencio, Life Fellow, IEEE
Abstract— A wireless RF receiver system suitable for Internetof-Things (IoT) applications is presented. The system is able to simultaneously harvest energy from out-of-band blockers with normal receiver operation; thus, the battery life for IoT applications can be extended. The system has only a single antenna for simultaneous RF energy harvesting and wireless reception. The receiver is a mixer-first quadrature receiver to tolerate large unavoidable blockers. The system is implemented in 180-nm CMOS technology and operates at a 900-MHz industrial, scientific, and medical band. The receiver gain is 41.5 dB. Operating from a 1-V supply, the receiver core consumes 430 µW. Furthermore, this power can be reduced to 220 µW in the presence of a large blocker (≈0 dBm) by the power provided by the blocker RF energy harvesting where the power conversion efficiency is 30%. Index Terms— Blocker, CMOS, cross-coupled rectifier, current mode, differential rectifier, diode-connected rectifier, energy harvesting, I, industrial, scientific, and medical (ISM), Internet of Things (IoT), mixer-first, out-of-band (OB), Q, receiver, RF, wireless.
I. I NTRODUCTION
T
HE number of connected things to an Internet-of-Things (IoT) network is expected to be 20 billion by 2020 [1]. This huge growth in the number of connected devices, up from 6 billion at 2016, should be accompanied by extensive research on wireless transceivers. The wireless sensor network node is a very popular application as an IoT device. This device can be deployed in wearables, cars, street light lamps, electricity meters, forests, volcanoes, and so on. Once deployed [2], this device needs to stay there as long as possible without costly maintenance such as that found in battery replacement. Therefore, the ultimate goal is to make this device selfsustainable. As a consequence, the power consumption for the
Manuscript received October 25, 2017; revised March 22, 2018; accepted July 30, 2018. This work was supported in part by Intel, in part by Silicon Labs, in part by Qualcomm, in part by Cirrus Logic, and in part by Texas Instruments Incorporated. (Corresponding author: Omar Elsayed.) O. Elsayed and E. Sánchez-Sinencio are with the Analog and Mixed Signal Center, Texas A&M University, College Station, TX 77843 USA (e-mail:
[email protected]). M. Abouzied was with the Electrical Engineering Department, Texas A&M University, College Station, TX 77843 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA, and also with the Faculty of Engineering, Cairo University, Giza 12613, Egypt (e-mail:
[email protected]). V. Vaidya and K. Ravichandran are with Intel Labs, Intel Corporation, Hillsboro, OR 97124 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2868683
RF transceiver, which is one of the most power hungry blocks in the entire system, should be minimized. Yet, the crowded spectrum is extremely challenging. Too many transceivers in a limited spectrum are like too many people sitting in a confined room—the more the people talk, the more the noise is generated and the fewer the words people hear and understand. In this situation, some people start to raise their voice to convey their messages. By analogy, transmitters start to send signals with a higher power, which means larger blockers. From this discussion, we can summarize the requirement for IoT wireless receivers as: 1) insusceptibility to large blockers and 2) ultralow-power consumption. As a survey for prior art, blocker resilient wireless receivers were implemented in [3]–[8] with different techniques to improve the linearity and to reduce the noise figure (NF), but the receivers suffered from high power consumption. Other designs [9]–[13] were able to reduce the power consumption to a lower limit, but it is still high power for sustainable operation. Reference [14] introduced low-power transceiver with integrated RF energy harvesting, but the harvester is not able to operate concurrently with the transceiver at high power levels. In [15], a low-energy wake-up receiver is introduced with multiple sources of energy harvesting including RF. In [16], a low-voltage transconductance mixer with mutual noise-cancelation technique is introduced. In [17] and [18], ultralow power wake-up receivers are presented. In [19], a ultralow power transceiver for biomedical applications is presented with an integrated RF energy harvesting system. From another point of view, energy harvesting is expected to be integrated in IoT standards to enable green operation where required power from the IoT nodes is scavenged from ambient sources. Specifically, RF energy harvesting converts the RF ambient electromagnetic waves into useful dc energy. A minimum input signal [20] is a characteristic of these systems and has been analyzed in [21] for integrated CMOS designs with on-chip and off-chip matching networks where charging capacitors take a considerable amount of time at the sensitivity levels. In [22], RF energy harvesting co-designed with custom antennas was demonstrated. However, in the presence of large RF blockers, the input signal is large enough to operate the system in a more efficient way [23]–[30]. In this paper, we present an energy efficient wireless receiver system that is suitable for IoT applications [27]. It is a mixerfirst-based receiver with current-mode operation to withstand large blockers. The wireless receiver system is not only
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
unaffected by large blockers, it is also able to harvest energy from out-of-band (OB) blockers for further battery power consumption reduction. As a result, the battery life for IoT applications can be extended. The receiver system, which has I and Q paths and an RF energy harvesting front end, is implemented in 180-nm CMOS technology and operates at a 900-MHz industrial, scientific, and medical (ISM) band. The receiver system power consumption is about 0.43 mW, and it can be reduced to 0.22 mW in the presence of a large blocker (≈0 dBm) [31], [32]. In this expanded version from [27], analysis is introduced for link budget and for the receiver’s building blocks along with receiver’s NF analysis. Analysis and tradeoffs of the RF rectifier design are presented. Interfacing and interaction between the receiver and the RF rectifier are discussed. Supplementary measurement results for in-band linearity and RF rectifier performance are presented. This paper is organized as follows. Section II has the link budget analysis and the proposed RF system architecture. Section III discusses the receiver building blocks and the circuit design and analysis. Section IV discusses the design and analysis for the proposed RF rectifier. Section V has the measurement results. Finally, Section VI gives the conclusions.
Fig. 1.
Proposed RF wireless receiver system.
Fig. 2.
Proposed RF wireless receiver system block diagram.
II. L INK B UDGET A NALYSIS AND P ROPOSED RF S YSTEM A RCHITECTURE To analyze the link budget of a wireless communication Between a transmitter and a receiver, we start with the well-known link budget equation [33] PTX G TX G RX (1) L fs where PRX , PTX , G TX , and G RX are the received power, transmitted power, transmitter antenna gain, and receiver antenna gain, respectively. L fs is the free-space path loss and given by 4πd 2 L fs = (2) λ PRX =
where d is the distance between the transmitter and the receiver and λ is the carrier wavelength in the free space. Assuming 0-dBm transmitted power (PTX ) from the transmitter, 2-dBi transmitter and receiver antenna gain (G TX and G RX ), 500-m distance, and 900-MHz carrier frequency, the received power will be PRX = −81.5 dBm. For a wireless receiver, the sensitivity is given by [34] Psens = 10 log(kT ) + 10 log(B) + NF + SNR + MAR
(3)
where k, T , B, NF, SNR, and MAR are the Boltzmann constant, temperature, receiver’s bandwidth, receiver’s NF, required SNR for demodulation, and a certain margin, respectively. Assuming Psens = PRX = −81.5 dBm, T = 300 K, B = 500 kHz, and SNR = 11.5 dB for 16-QAM with a bit error rate of 10−3 [35] and a margin of 3 dB, the required receiver’s NF will be 21 dB. Also from [36] and [37], NF for IoT applications can be relaxed to 19 dB. The proposed wireless receiver architecture is shown in Fig. 1. The antenna receives in-band small signals and large OB blockers. The typical RF receiver chain is shown at the
bottom where an LC matching network is used for impedance transformation and another role of the matching network is to attenuate the OB blockers. The proposed system introduces another path, shown in the top of Fig. 1, for the blocker signal flow where an RF rectifier is used for ac-to-dc conversion of the blocker RF power. An LC matching network is used to transform the input impedance of the wireless receiver block to the antenna impedance (assume 50 ) for maximum power transfer and blocker signal selection due to the bandpass nature of the input impedance Z in,REC. The ripple on the rectifier output voltage VREC is filtered by the load capacitor C L , and VREC is used to partially supply the wireless receiver with dc power as a recycling process of the RF blocker. Thus, although the RF blockers are traditionally considered nondesirable signals for their effects on the traditional receivers, in the proposed system, they can be used to lower its effective power consumption or even achieve batteryless design as an ultimate goal. The same antenna is used for concurrent reception of both the signals: the receiver and blocker signals. In the GSM standard, wireless systems may receive blockers as large as 0 dBm at 980 MHz [31], [32], which is 80 MHz away from our band of interest. The idea of the receiver system can be applied at different frequency bands as well. A more detailed block diagram for the wireless receiver system is shown in Fig. 2. The system consists of an RF
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELSAYED et al.: ULTRALOW-POWER RF WIRELESS RECEIVER WITH RF BLOCKER ENERGY RECYCLING
Fig. 3.
Fig. 4.
Receiver’s passive mixer with baseband loads.
Fig. 5.
Simplified mixer noise model.
3
Detailed schematic for the wireless receiver.
wireless receiver and an RF rectifier with series inductors to adjust the impedance levels. The used antenna can be any omni or directional antenna that works at 900 MHz. After √ the antenna, there is a balun with a voltage ratio of 1: 2 to provide 50- impedance at all terminals. The balun part number is “B0430J50100AHF.” The wireless receiver is a current-mode mixer-first receiver with a passive mixer. The mixer is followed by a current-mode baseband low-pass filter (LPF). At the end, there is a transimpedance amplifier (TIA) to convert the current signal into a voltage signal and to provide the adequate gain. The baseband filter has two power supplies: main supply (VDD ) and auxiliary supply (VAUX ). Main supply (VDD ) is always connected to the system battery. The system operation and auxiliary supply (VAUX) behavior is described as follows: if there is no blocker or there is a blocker with low power, VAUX will be connected to the main supply (VDD ). If there is a large blocker (≈0 dBm), VAUX will be automatically connected to VREC . In this case, the filter will be partially powered up from the RF rectifier. As a result, the power consumption of the wireless receiver from the battery is reduced. L 1 is implemented off-chip to add the degree of freedom for the design; however, for mass production, L 1 can be easily integrated to reduce cost. The off-chip Q is 60 while the on-chip Q is 11. III. R ECEIVER B LOCKS I MPLEMENTATION AND C IRCUIT D ESIGN Fig. 3 shows the detailed I/Q design of the RF wireless receiver. Each arm consists of a passive mixer, a baseband LPF, and a TIA. As the filter dominates the power budget, the auxiliary supply (VAUX ) is connected only to the filter. There is a switch that controls whether VAUX is connected to VREC or VDD . The switch is controlled by the supply control that has the rectifier output voltage (VREC ) as the input. A clock generation circuit is implemented to generate 25% duty cycle four phases from an external RF source with a
frequency of 2 f LO (1.8 GHz). In Section III-A, we will discuss all the blocks in detail. A. Passive Mixer Analysis and Design Fig. 4 shows the receiver’s passive mixer with I and Q baseband loads. The baseband impedance seen from the mixer (Z BB ) is the input impedance of the baseband filter. The mixer is clocked from 25% duty cycled four clocks shifted with TLO /4 apart. We can consider that this passive mixer is current-driven as the input impedance of the baseband filter is relatively low (will be revisited later). Each mixer is effectively − + − clocked by the LO+ I − LO I (or LO Q − LO Q ) signal shown in Fig. 4. Analysis of the current-driven passive-mixer is presented in [4], [11], and [38]–[44]. In general, the magnitude of the I and Q baseband current is given by |i BB,I (ω B B )| = |i BB,Q (ωBB )| ∞ = |sinc((2n + 1)πd) × i RF ((2n + 1)ωLO )|
(4)
n=0
where i BB is the current at baseband, i RF is the current at RF, and d is the duty cycle of the clock. For d = 0.25, (4) can be expanded to |i BB,I (ωBB )| = |i BB,Q (ωBB )| 1 1 = A I,1 i RF (ωLO ) + i RF (3ωLO ) + i RF (5 ωLO ) + · · · 3 5 (5) where A I,1 is the current gain √ for the fundamental frequency (ωLO ) and equals A I,1 = 2 2/π. From (5), we can conclude √ that the current gain for the fundamental frequency is 2 2/π
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
Fig. 6.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Baseband LPF. (a) Schematic. (b) Half circuit. (c) Baseband and RF input impedance.
while the higher odd harmonics current gain is attenuated by 1/n, where n is the number of the harmonic. For the input impedance seen from Vin1 at RF (Z in (ω) = Vin1 (ω)/i RF (ω)) and by neglecting higher frequency harmonics, it is given by [11] Z in,RF (ω) ∼ = 2R S W +
8 [Z BB (ω − ωLO ) + Z BB (ω + ωLO )] π2 (6)
where RSW is the ON-resistance for the mixer transistor. From (6), we can conclude that the input impedance seen from Vin1 at the RF domain is the frequency-translated impedance from the baseband plus the switch’s resistance (2RSW ). For noise analysis, Fig. 5 shows a simplified model for the mixer noise. From [11], [38], and [39], the output noise current from the mixer switches is given by 2 π 4kT × 2R S W 2 4kT 2 2 −1 i n,out,mixer = A + A (R S + 2RSW )2 I,1 2RSW I,1 8 (7) where R S is the source impedance. Equation (7) is derived under the assumption that RSW > 0 and R S can be neglected at higher harmonics (ω > ωLO ). B. Baseband Filter Analysis and Design In a mixer-first receiver architecture, the design of the baseband filter is a crucial task. The filter is the first active block in the receiver chain, and there is no significant gain preceding it. As a consequence, both the filter and the mixer dominate the generated noise from the receiver. However, the filter has higher flexibility in design and specifications than the mixer. The filter also should reject the OB blockers to provide adequate linearity. For the previously stated reasons, the filter dominates the receiver’s power budget. Fig. 6(a) shows the filter schematic. It is a current-mode active biquad filter [45] that is implemented in a folded cascode architecture to support operation from low-voltage supply (1 V) and to provide better linearity. The currentmode active biquad topology is selected over passive RC
Fig. 7.
Filter simulated current gain.
filter, because it has better selectivity and rejection without jeopardizing the linearity. The filter consists of a capacitor (C1 ) and an active inductor. The cross-coupled transistor M1 implements an active inductor with C2 . Transistor M2 is used as a current buffer. The bias current and the sizing of transistors M1 and M2 are designed to make gm1 = gm2 = gm . The filter half circuit is shown in Fig. 6(b). The filter has two complex poles. The filter transfer function, neglecting gds of transistors, becomes A I,filter =
2 /(4C C ) i out,BB gm 1 2 . = 2 2 /(4C C ) i in,BB s + (gm /2C1 )s + gm 1 2
(8)
The filter has ω0 = gm /(4C1 C2 )1/2 and Q = (C1 /C2 )1/2 . The filter response has complex poles if Q > 1/2 where C2 > 4C1 . The simulated transfer function of the filter is shown in Fig. 7. The single-side input impedance of the filter, shown in Fig. 6(b), is determined by Z in,BB (s) =
v in,BB s/2C1 . = 2 2 /(4C C ) 2 × i in,BB s + (gm /2C1 )s + gm 1 2 (9)
The filter input impedance has an inherently bandpass response. The input impedance of the filter is shown in Fig. 6(c) along with the frequency-translated impedance at the RF presented in (6). A simplified noise model for the filter is shown in Fig. 8. R S,F is the source impedance of the filter and the output resistance of the mixer at the baseband. The total output
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELSAYED et al.: ULTRALOW-POWER RF WIRELESS RECEIVER WITH RF BLOCKER ENERGY RECYCLING
Fig. 9. Fig. 8.
5
Schematic of the TIA.
Simplified filter noise model.
C. TIA Analysis and Design current noise is expressed in (10), shown at the bottom of this page, from all thermal noise sources. The expression is calculated with the assumption that gm > 1/R S,F and can be minimized by increasing gm . γ is the excess noise factor, and Z in,TIA is the input impedance for the TIA and is given by Z in,TIA = R1 /(1 + gm3 R1 ). Z out,F is the output impedance of the filter and is given by Z out,F = rds,M2 (1 + gm Z s,M2 + Z s,M2 /rds,M2 )//(1/sC p,M2 ), where rds,M2 is the drain–source resistance of M2 , Z s,M2 is the impedance seen from the source of M2 , and C p,M2 is the parasitic capacitance at M2 . Z s,M2 is given by 1 + Z s,M1 (1/rds,M1 + gm ) 1 Z s,M2 = (11) 1/rds,M1 − gm 2 × sC2 where rds,M1 is the drain–source resistance of M1 , and Z s,M1 is the impedance seen from the source of M1 and is given by Z s,M1 = R S,F //(1/2sC1 ). To summarize the filtering in the receiver chain, first, a matching network is used to pass the in-band signal and reject the OB one. Second, a passive mixer is used followed by a large capacitor, like a typical mixer-first receiver, and provides enough rejection for the OB signal. Finally, the second-order filter in the baseband provides additional filtering. Regarding linearity, the active filter dominates the in-band nonlinearity, while the passive mixer dominates the OB nonlinearity.
due to M1
The TIA role is to convert the current signal into a voltage signal and to provide the required gain. It consists of a transistor (M3 ) with a feedback resistor (R1 ), as shown in Fig. 9. The input impedance is given by Z in,TIA = v in,TIA /i in,TIA = 2/gm3 , and the transimpedance gain (A T ) is given by AT =
v out,TIA gm3 R1 − 1 = −2 × . i in,TIA gm3
(12)
For the noise analysis, by assuming that R1 is smaller than the filter output resistance, the noise from R1 can be neglected. The total output voltage noise is given by 2 2 2 2 ∼ v n,out,TIA = 2 × 4kT γ gm3 + 2 × i n,B4 gm3 gm3
(13)
2 is the noise generated from the bias current of the where i n,B4 TIA.
D. Receiver’s NF Analysis In order to calculate the NF for the receiver’s I and Q arms, we define the following current and transimpedance gains: for the mixer, the magnitude of the current gain for the fundamental frequency (ωLO ) is given by |A I,mixer | = √ R S /(R S +2RSW )×2 2/π. The magnitude of the filter current
due to I B1
2 R2 + 1 g 1 m S,F 2 2 i n,out,F (ω) ∼ × i n,B1 = 2 × 4kT γ gm + 2 2 2 2 2 2 2 2 2 2 2 1−ω × 4C1 C2 gm + ω × 4C2 gm 1 − ω × 4C1 C2 gm + ω × 4C2 gm 2 2 × ω2 × 16C 2 C 2 g 4 + 4 g 4 × (C −C )2 1+ω2 ×4C12 gm ω 2 1 m m 1 2 2 + ×i n,B2 + × 4kT γ gm 2
2 +ω2 × 4C 2 /g 2 2 × 4C C g 2 2 + ω2 × 4C 2 g 2 1−ω2 ×4C1 C2 gm 1−ω 1 2 m m m 2 2
ω2
× 4C12
2 gm
due to I B2 2 + i n,B3 ×
|Z out,F | |Z out,F | + Z in,TIA due to I B3
due to M2
(10)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 11.
Fig. 10.
Simulated and analytical receiver’s NF due to thermal noise.
gain yields
2 (4C C ) gm 1 2 |A I,filter | = 2 2 . 2 2 × g2 2 gm /(4C1 C2 ) − ωBB + ωBB m 4C1 (14)
The magnitude of the transimpedance gain of the TIA is given by |A T ,TIA| = 2 × (gm3 R1 − 1)/gm3. Using these defined gains and (7), (10), and (13), we can get an expression for the receiver’s NF as given in (15), shown at the bottom of this page. To verify the expression in (15), a comparison between the analytical NF and the simulated one is shown in Fig. 10. In the analytical and simulated NF, noise from current sources is omitted and thermal noise is the only noise type presented. The comparison shows good agreement between the simulated and analytical NF. To get insight about the system blocks’ contribution to the NF from (15), mixer contribution is 61%, filter contribution is 38%, and TIA contribution is 40-dBc roll-off rejection for adjacent channels, which are superior to the prior arts [26], [27]. As shown in Fig. 9(a), the SK LPF consists of three biquad cells, which are designed with different Q values to satisfy the sixth-order Chebyshev filter prototype. The Q-factor is given by C1 C2 (R1 R2 + R1 Rout + R2 Rout ) (10) Q= C2 (R1 + R2 ) + C1 Rout
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: FULLY INTEGRATED 300-MHz CHANNEL BANDWIDTH 256 QAM TRX WITH SIS
Fig. 13. Fig. 11. the TX.
7
Chip microphotograph of the SIS TRX.
Schematic of (a) RF-PGA, (b) D2S, and (c) driver amplifier in
Fig. 14. Measured DSB NF and maximum RX conversion gain versus (a) LO frequency, fixed 50-MHz IF frequency, f LO = f 0 and (b) IF frequency, fixed 6.5-GHz LO frequency, when the sixth-order SK filter is set to several different bandwidths.
Fig. 12. (a) Block diagram of the frequency synthesizer. Measured results of the frequency synthesizer. (b) Lock-time comparison when the ALBC ON/OFF. (c) Phase noise at 13-GHz carrier.
where Rout is the output impedance of the biquad cell. The dual-input fully differential OPA [see Fig. 9(b)] is connected as a unit gain loop and forms a voltage follower, enabling a tiny closed-loop output impedance (Rout ) without lowering the Q value. This scheme can reduce the requirements of the GBW of the OPA, so as to cut down the power consumption. Cross coupling transistors (M2b and M2c ) and diode transistors (M2a and M2d ) are connected in parallel as the load of the first stage to obtain high GBW and high common mode stability.
Fig. 15. (a) Measured IB IIP3 and IIP2 versus LO frequency. (b) Measured IIP3 and BP1dB compression point versus frequency offset ( f os ).
C. RX Analog Baseband Fig. 10(a) shows the RX analog baseband that has a block diagram with 60-dB gain adjustment range. The LPF in the RX analog baseband is inserted between two sets of PGA stages to reduce the noise. Fig. 10(b) shows the schematic for one of the PGA stages, which consists of a programmable transconductance based on a parallel negative feedback supersource follower structure and a CS amplifier for output. The four-stage PGA was validated a performance of 1-dB gain step with less than 0.3-dB gain error [38]. D. Transmitter Front End The schematic of the RF-PGA is shown in Fig. 11(a). The control words (5-bit) are sufficient to implement an approximate 3-bit linear-in-decibel gain control with 3-dB gain step.
Fig. 16. Measured EVM under different input RF powers (160-MHz modulated BW and OFDM 256 QAM at 6.5 GHz), and comparison between the measured SNR versus coding rate and modulation scheme, and the theoretical minimum SNR at the digital baseband demodulator input of Rel-13 LTE-A [39].
Similar to the up-mixer, the unwanted mixing products and the nonlinearity intermodulation components of the RF-PGA are further suppressed by the LC-tank load. Fig. 11(b) and (c) shows the schematic of the D2S and the power driver amplifier, respectively. The class-AB push–pull driver amplifier achieves an output P1dB of −3∼+5 dBm to meet the peak-to-average power ratio and OB noise specification for different modulation schemes.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 17.
Measured RX constellations in OFDM 256 QAM ( f LO = 6.5 GHz and the input modulated RF signal BW is 160 MHz).
Fig. 18.
Measured TX constellations in OFDM 256 QAM ( f LO = 6.8 GHz and the output modulated RF signal BW is 160 MHz).
Fig. 20. Measured RX conversion gain of the interference signal and the desired signal versus the LO frequency, with fixed 50-MHz output IF, f rfin = f I = f p or f rfin = f 0 , and f 0 − f p = 600 MHz. Fig. 19. TX ACLR performance in OFDM 256 QAM ( f LO = 6.3 GHz and the output modulated RF signal BW is 160 MHz).
E. Frequency Synthesizer Two independent fractional-N frequency synthesizers are integrated in the TRX to support both TDD and FDD operations with a frequency coverage between 11.5 and 14.6 GHz, as shown in Fig. 12. An adaptive loop bandwidth control (ALBC) unit is used to automatically control the loop bandwidth from 300 KHz, when the PLL is far away from the locked state, to 150 KHz when approaching the locked state. As a result, one could speed up the lock settling process, while ensuring better phase noise and spur performance. The lock time would be shortened by about 30%, as shown in Fig. 12, with a phase noise of −112.5 dBc/Hz at 1 MHz at 13-GHz carrier.
Fig. 21. Measurement of (a) NF versus interference signal power level and (b) RX gain compression of a weak desired signal with and without IS versus varying interference signal power level at the RX input (the PGA gain is set to 5 dB).
IV. M EASUREMENT R ESULTS The proposed TRX has been implemented in 0.13-μm CMOS with a chip area of 3.2 × 1.9 mm2 , including ESD/IOs and pads, as shown in Fig. 13. The chip is wire
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: FULLY INTEGRATED 300-MHz CHANNEL BANDWIDTH 256 QAM TRX WITH SIS
9
Fig. 22. Demonstration of SIS in closely spaced channels. Signal spectra of the desired signal and the self-interference signal from TX output are shown. The victim of −40-dBm average power and the aggressor of −15-dBm average power are located at 6.5- and 6.8-GHz channels, respectively, and combined in the hybrid coupler. The EVM of the demodulated signal is measured at the RX output.
bonded and mounted on a Rogers RO4003C PCB for all measurements. A. RX Measurement Results Generic RX measurements are performed with self-TX leakage absent. In addition, C1 in the LNA is set to a large capacitance, so that f p is far from 6.5-GHz band. Fig. 14(a) shows the measured DSB NF and RF-to-baseband conversion gain with fixed 50-MHz IF. The conversion gain is about 83 dB over the whole band, the NF stays below 3.25 dB, and the minimum NF is 2.95 dB at 6.5 GHz. Fig. 14(b) shows the measured performance figures at different IF frequencies with a 6.5-GHz LO frequency. The one-side −3-dB bandwidth ( f −3 dB ) as determined by the sixth-order SK LPF is set to 75, 100, and 150 MHz, respectively. The gain rejection in adjacent channel (at about 2× f −3 dB ) reaches at least 40 dBc for all the three bandwidths. The NF is lower than 4 dB below f −3 dB . Fig. 15(a) shows the measured IB IIP3 and IIP2 verses LO frequency, with two tones (IIP3: f LO + 50 MHz and f LO + 51 MHz; IIP2: f LO + 50 MHz and f LO + 101 MHz). The IM3 component at 49 MHz and the IM2 component at 51 MHz are measured. The IB IIP3 and IB IIP2 are about −13.7 and +26 dBm, respectively. Fig. 15(b) presents the measured IIP3 and blocker-induced 1-dB gain compression points (BP1dB) versus the offset frequency ( f os ). The two input tones are located at f LO + f os + f and f LO +2× f os + f for IIP3. For BP1dB measurement, the useful signal is located at f LO + f , with the blocker signal at f LO + f os + f , where f LO = 6.5 GHz and f = 150 MHz. The BW of the SK LPF is set to 150 MHz. The RX has an OB and IB IIP3 of +17.5 and −14 dBm and OB and IB BP1dB of −13 and −27 dBm, respectively. The measured EVM of 2.02%, to the best of our knowledge, is the lowest value obtained so far with an SNR of 33.9 dB, as shown in Fig. 16. The measured constellations in OFDM 256 QAM at the RF channel of 6.5 GHz are shown in Fig. 17.
B. TX Measurement Results As shown in Fig. 18, a 6.8-GHz carrier OFDM 256 QAM signal with 160-MHz BW is evaluated through the TX, exhibiting an excellent EVM of 2.72% at −15-dBm average output power. The ACLR performance at 6.3 GHz with the same RF BW and modulated scheme is shown in Fig. 19. The ACLR and ACLR2 are −31.6 and −44.4 dBc, respectively, with −4.8-dBm average output power. The linearity is restricted by the limited output power of the driver amplifier (single tone P1dB is +5 dBm). After adding an off-chip PA, the ACLR would be significantly improved. C. Interference Suppression Performance of the TRX The RX is operated at the IS mode by setting the f p of the SDN in the LNA to the interference frequency ( f I ). The measured RX conversion gain of the interference signal and the desired signal is shown in Fig. 20, with frfin = f I = f p and f rfin = f 0 , achieving about 18-dB IS. Fig. 21(a) shows the measured NF as a function of the interference signal power level at 150- and 300-MHz offset. The interference signal is selected as f I = f LO + f os + f , where fLO = 6.5 GHz, f = 150 MHz, and the one-side −3-dB bandwidth ( f −3 dB ) is 150 MHz. The NF is roughly flat with a value of about 3 dB up to −15 dBm and rises to 5.1 dB at 0-dBm 150-MHz offset interference signal. Measured RX gain imparted to a weak desired signal with and without IS is shown in Fig. 21(b). In the absence of IS, f p is set far away from f I . In the IS mode with f p = f I , an interference signal up to −8 dBm located at 150-MHz offset at the RX input results in a negligible gain compression of the desired signal (as opposed to nearly 11 dB of gain compression without IS). When the interference signal is located at 300-MHz offset, the 1-dB gain compression point of the desired signal is improved from −14 to −7 dBm. Fig. 22 shows the SIS in closely spaced channels. A 6.8-GHz carrier 80-MHz BW 256 QAM signal with
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
Fig. 23.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Measured RX constellations with SIS under the scenarios of Fig. 22. TABLE I S UPERIOR OVERALL P ERFORMANCE AS C OMPARED W ITH THE P RIOR A RTS
−15-dBm average power is transmitted as the selfinterference signal. The victim of −40-dBm average power is located at 6.5-GHz channel in 160-MHz BW 256 QAM.
The TX self-interference signal and the desired signal appear at the RX input after combination in the hybrid coupler. Without resorting to additional filters (e.g., SAW, duplexer,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: FULLY INTEGRATED 300-MHz CHANNEL BANDWIDTH 256 QAM TRX WITH SIS
and so on) and antenna/circulator isolation (e.g., as in [31], [32], and [35]), the TRX exhibits comparable self-interference power handling in closely spaced channels with a slight degradation of the EVM to 2.98% (see Fig. 23). D. Performance Summary and Comparison Table I summarizes the measured results of the TRX. As compared to the prior arts, this paper exhibits a lower NF, a smaller NF degradation, superior NF in withstanding large blocker, and a wider suppression BW, while achieving comparable OB/IB linearity and maximum self-interference power handling capability. Due to the powerful SIS, our TRX allows FDD operation in closely spaced channels. The TRX exhibits superior RX/TX EVM and ACLR in 160-MHz BW 256 QAM with a lower power consumption. V. C ONCLUSION A novel scheme of SIS for wide channel BW wireless is demonstrated. An IS-NC-LNA with embedded programmable SDN, manifesting the notch filtering characteristics of the TX self-interference signal, combined with LC-tuned tanks for channel selection, has been proposed to facilitate the SIS. The present SIS approach introduces a negligible NF penalty, virtually no power overhead, wide suppression BW, and comparable self-interference power handling, while achieving SAW-less and duplexer-less FDD operation in closely spaced channels. The prototype of the circuit has been implemented in the form of a fully integrated single-chip TRX, with a 300-MHz channel BW, for the potential 5G candidate band (6.5-GHz band). The RX and TX exhibit an excellent EVM of 2.02% and 2.72% in 160-MHz BW OFDM 256 QAM, respectively. The NF of the RX degrades only 2.1 dB (from 3.0 to 5.1 dB), withstanding 0-dBm interference at 150-MHz offset. The prototype exhibits a slight EVM degradation when receiving a −40-dBm 160-MHz BW desired signal in the presence of a −15-dBm 80-MHz BW self-interference signal at 300-MHz offset. R EFERENCES [1] S. Onoe, “Evolution of 5G mobile technology toward 1 2020 and beyond,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2016, pp. 23–28. [2] (Sep. 2015). Recommendation ITU-R M.2083-0:IMT Vision−Framework and Overall Objectives of the Future Development of IMT for 2020 and Beyond. [Online]. Available: http://www.itu.int/dms_pubrec/itur/rec/m/R-REC-M.2083-0-201509-I!!PDF-E.pdf [3] Technical Feasibility of IMT in Bands Above 6 GHz, document Rep. ITU-R M.2376-0, May 2015. [Online]. Available: http://www.itu.int/pub/R-REP-M.2376 [4] (May 2016). 5GMF White Paper: 5G Mobile Communications Systems for 2020 and Beyond, [Online]. Available: http://5gmf.jp/ [5] C. Sun, “5G, moving steps closer to commercialization,” in 1st Global 5G Event. Beijing, China: Samsung, May 2016. [6] D. Yang, H. Yüksel, and A. Molnar, “A wideband highly integrated and widely tunable transceiver for in-band full-duplex communication,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1189–1202, May 2015. [7] T. Zhang, A. R. Suvarna, V. Bhagavatula, and J. C. Rudell, “An integrated CMOS passive self-interference mitigation technique for FDD radios,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1176–1188, May 2015. [8] M. Mikhemar, H. Darabi, and A. Abidi, “A tunable integrated duplexer with 50 dB isolation in 40 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2009, pp. 386–387 and 387a.
11
[9] M. Mikhemar, H. Darabi, and A. A. Abidi, “A multiband RF antenna duplexer on CMOS: Design and performance,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2067–2077, Sep. 2013. [10] H. Khatri, P. S. Gudem, and L. E. Larson, “An active transmitter leakage suppression technique for CMOS SAW-Less CDMA receivers,” IEEE J. Solid-State Circuits, vol. 45, no. 8, pp. 1590–1601, Aug. 2010. [11] A. Mirzaei, M. Mikhemar, D. Murphy, and H. Darabi, “A 2 dB NF receiver with 10 mA battery current suitable for coexistence applications,” IEEE J. Solid-State Circuits, vol. 49, no. 4, pp. 972–983, Apr. 2014. [12] H. Hedayati, W.-F. A. Lau, N. Kim, V. Aparin, and K. Entesari, “A 1.8 dB NF blocker-filtering noise-canceling wideband receiver with shared TIA in 40 nm CMOS,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1148–1164, May 2015. [13] H. Darabi, “A blocker filtering technique for SAW-less wireless receivers,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2766–2773, Dec. 2007. [14] T. D. Werth, C. Schmits, R. Wunderlich, and S. Heinen, “An active feedback interference cancellation technique for blocker filtering in RF receiver front-ends,” IEEE J. Solid-State Circuits, vol. 45, no. 5, pp. 989–997, May 2010. [15] C. Izquierdo, A. Kaiser, F. Montaudon, and P. Cathelin, “Reconfigurable wide-band receiver with positive feed-back translational loop,” in Proc. Radio Freq. Integr. Circuits Symp. (RFIC), Jun. 2011, pp. 1–4. [16] J. Zhu, H. Krishnaswamy, and P. R. Kinget, “Field-programmable LNAs with interferer-reflecting loop for input linearity enhancement,” IEEE J. Solid-State Circuits, vol. 50, no. 2, pp. 556–572, Feb. 2015. [17] S. Pourbagheri, K. Mayaram, and T. S. Fiez, “A self-clocked blockerfiltering technique for SAW-less wireless applications,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), May 2016, pp. 250–253. [18] S. Youssef, R. van der Zee, and B. Nauta, “Active feedback technique for RF channel selection in front-end receivers,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3130–3144, Dec. 2012. [19] J. W. Park and B. Razavi, “Channel selection at RF using Miller bandpass filters,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 3063–3078, Dec. 2014. [20] C.-K. Luo, P. S. Gudem, and J. F. Buckwalter, “A 0.4–6-GHz 17-dBm B1dB 36-dBm IIP3 channel-selecting low-noise amplifier for SAW-less 3G/4G FDD diversity receivers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1110–1121, Apr. 2016. [21] Y.-H. Chen, N. Fong, B. Xu, and C. Wang, “An LTE SAW-less transmitter using 33% duty-cycle LO signals for harmonic suppression,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2015, pp. 1–3. [22] G. Qi, P. I. Mak, and R. P. Martins, “A 0.038mm2 SAW-less multiband transceiver using an N-Path SC gain loop,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Jan. 2016, pp. 452–454. [23] Z. Ru, N. A. Moseley, E. A. M. Klumperink, and B. Nauta, “Digitally enhanced software-defined radio receiver robust to out-of-band interference,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3359–3375, Dec. 2009. [24] C. Andrews and A. C. Molnar, “A passive mixer-first receiver with digitally controlled and widely tunable RF interface,” IEEE J. SolidState Circuits, vol. 45, no. 12, pp. 2696–2708, Dec. 2010. [25] A. Mirzaei, H. Darabi, A. Yazdi, Z. Zhou, E. Chang, and P. Suri, “A 65 nm CMOS quad-band SAW-Less receiver SoC for GSM/GPRS/EDGE,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 950–964, Apr. 2011. [26] D. Murphy et al., “A blocker-tolerant, noise-cancelling receiver suitable for wideband wireless applications,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 2943–2963, Dec. 2012. [27] B. van Liempd et al., “A 0.9 V 0.4–6 GHz harmonic recombination SDR receiver in 28 nm CMOS with HR3/HR5 and IIP2 calibration,” IEEE J. Solid-State Circuits, vol. 49, no. 8, pp. 1815–1826, Aug. 2014. [28] Z. Lin, P. L. Mak, and R. P. Martins, “A 0.028 mm2 11mW singlemixing blocker-tolerant receiver with double-RF N-path filtering, S11 centering, +13dBm OB-IIP3 and 1.5-to-2.9dB NF,” in IEEE Int. SolidState Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2015, pp. 1–3. [29] H. Wu, M. Mikhemar, D. Murphy, H. Darabi, and M.-C. F. Chang, “A blocker-tolerant inductor-less wideband receiver with phase and thermal noise cancellation,” IEEE J. Solid-State Circuits, vol. 50, no. 12, pp. 2948–2964, Dec. 2015. [30] J. Zhou, A. Chakrabarti, P. R. Kinget, and H. Krishnaswamy, “Lownoise active cancellation of transmitter leakage and transmitter noise in broadband wireless receivers for FDD/Co-existence,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 3046–3062, Dec. 2014.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[31] D. J. van den Broek, E. A. M. Klumperink, and B. Nauta, “A selfinterference-cancelling receiver for in-band full-duplex wireless with low distortion under cancellation of strong TX leakage,” in IEEE Int. SolidState Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2015, pp. 1–3. [32] J. Zhou, T.-H. Chuang, T. Dinc, and H. Krishnaswamy, “Integrated wideband self-interference cancellation in the RF domain for FDD and full-duplex wireless,” IEEE J. Solid-State Circuits, vol. 50, no. 12, pp. 3015–3031, Dec. 2015. [33] J. Zhou, N. Reiskarimian, and H. Krishnaswamy, “Receiver with integrated magnetic-free N-path-filter-based non-reciprocal circulator and baseband self-interference cancellation for full-duplex wireless,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Jan. 2016, pp. 178–180. [34] X. Yang and A. Babakhani, “A full-duplex single-chip transceiver with self-interference cancellation in 0.13 μm SiGe BiCMOS for electron paramagnetic resonance spectroscopy,” IEEE J. Solid-State Circuits, vol. 51, no. 10, pp. 2408–2419, Oct. 2016. [35] T. Zhang, A. Najafi, C. Su, and J. C. Rudell, “A 1.7-to-2.2GHz fullduplex transceiver system with >50 dB self-interference cancellation over 42 MHz bandwidth,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2017, pp. 314–315. [36] M. Mikhemar et al., “A Rel-12 2G/3G/LTE-advanced 3CC cellular receiver,” IEEE J. Solid-State Circuits, vol. 51, no. 5, pp. 1066–1079, May 2016. [37] H.-Y. Shih, C.-N. Kuo, W.-H. Chen, T.-Y. Yang, and K.-C. Juang, “A 250 MHz 14 dB-NF 73 dB-Gain 82 dB-DR analog baseband chain with digital-assisted DC-offset calibration for ultra-wideband,” IEEE J. Solid-State Circuits, vol. 45, no. 2, pp. 338–350, Feb. 2010. [38] Y. Zhang, Y. Fei, Z. Peng, and F. Huang, “A 250 MHz 60 dB gain control range 1dB gain step programmable gain amplifier with DC-offset calibration,” in Proc. Int. Symp. Intell. Signal Process. Commun. Syst. (ISPACS), Nov. 2015, pp. 227–230. [39] LTE; Evolved Universal Terrestrial Radio Access (E-UTRA); User Equipment (UE) Radio Transmission and Reception, (Release 13), document TS36.101, 3GPP, Jul. 2016.
Youming Zhang received the B.S. degree in electronic information engineering from Hangzhou Dianzi University, Hangzhou, China, in 2010, and the M.S. degree in circuit and system from Southeast University, Nanjing, China, in 2013, where he is currently pursuing the Ph.D. degree. His current research interests include CMOS RF and millimeter-wave integrated circuits, phasedarray transceiver design, and device modeling.
Nan Jiang joined the S-TEK Research Center, S-TEK Co., Shanghai, China, in 2003, where he is currently a Senior Engineer. His current research interests include device modeling, RF, and millimeter-wave integrated circuit design. Dr. Jiang was a recipient of the Shanghai Science and Technology Award in 2006.
Fengyi Huang (M’06) received the B.S. degree in physics from Peking University, Beijing, China, in 1986, the M.S. degree in physics from Fudan University, Shanghai, China, in 1988, and the Ph.D. degree from the University of Illinois at Urbana– Champaign, Champaign, IL, USA, in 1994. In 1994, he joined the University of California at Los Angeles, Los Angeles, CA, USA, as a PostDoctoral Research Fellow, where he was involved in SiGe and SiGeC photodetectors. In 1997, he joined the Microelectronics Division, IBM Corporation, Hopewell Junction, NY, USA, as an Advisory Engineer, where he was involved in the process development of advanced SiGe BiCMOS technology with the Advanced Semiconductor Technology Center for over three generations including the 0.18-μm 7HP. He is currently a Yangtze Scholar Professor with the Department of Circuit and System Engineering, Institute of RF and Opto-Electronic Integrated Circuits, Southeast University, Nanjing, China. He has authored 2 book chapters on Si processing and devices published by the Institute of Physics Publishing, U.K. and first-authored over 40 research papers on peer-reviewed journals, including Physical Review Letters, the IEEE International Electron Devices Meeting, the IEEE J OURNAL OF S OLID -S TATE C IRCUITS , IEEE E LECTRON D EVICE L ETTERS , IEEE P HOTONIC T ECHNOLOGY L ETTERS , and Applied Physics Letters. He holds 10 U.S. patents. His current research interests include RFIC design and device modeling. Dr. Huang was a recipient of the T. D. Lee Physics Award presented by Fudan University in 1986, the Overseas Research Student Award presented by the British Council in 1989, and the IBM Microelectronics General Managers Excellence Award in 2000.
Xusheng Tang received the B.S. degree in electronic information engineering from the China University of Mining and Technology, Xuzhou, China, in 2006, and the M.S. degree in circuit and system from Southeast University, Nanjing, China, in 2009, where he is currently pursuing the Ph.D. degree. Since 2009, he has been a Research Assistant with the School of Information Science and Engineering, Southeast University. His current research interests include device modeling, integrated devices, circuits, and systems for a variety of RF, millimeter- and submillimeter-wave applications.
Xiaohu You (SM’11–F’12) received the M.S. and Ph.D. degrees in electrical engineering from Southeast University, Nanjing, China, in 1985 and 1988, respectively. Since 1990, he has been with the National Mobile Communications Research Laboratory, Southeast University, where he is currently a Professor and the Director. He is also the Chief of the Technical Group of the China 3G/B3G Mobile Communication Research and Development Project. His current research interests include mobile communications, adaptive signal processing, and artificial neural networks with applications to communications and biomedical engineering. Dr. You was the recipient of the Excellent Paper Prize of the China Institute of Communications in 1987, the Young Teacher Award of the Fok Ying Tung Education Foundation, State Education Commission of China, in 1989, the Outstanding Young Teacher Award from Southeast University in 1990, 1991, and 1993, and the National Technological Invention Award of China in 2011.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A Real-Time Architecture for Agile and FPGA-Based Concurrent Triple-Band All-Digital RF Transmission Daniel C. Dinis , Graduate Student Member, IEEE, Rui Ma , Senior Member, IEEE, Shintaro Shinjo, Senior Member, IEEE, Koji Yamanaka, Member, IEEE, Koon Hoo Teo, Member, IEEE, Philip V. Orlik, Senior Member, IEEE, Arnaldo S. R. Oliveira , Member, IEEE, and José Vieira
Abstract— Contiguous/noncontiguous carrier aggregation (CA) is one of the key features from 4G systems, which is expected to be evolved within 5G technologies. Thus, there is a need for the development of flexible, agile, and reconfigurable radio transceivers with a native support for the integration of multiple bands and multiple standards. All-digital radio-frequency (RF) transmitters have demonstrated promising potential to the design of next-generation RF transceivers. However, the simultaneous multiband transmission is still one of the key limitations of current approaches. To address this problem, this paper presents a fully digital and parallel architecture that enables the real-time design of agile and concurrent triple-band transmission. The proposed architecture is suitable for both contiguous and noncontiguous CA scenarios and considerably surpasses the state of the art in terms of frequency agility, maximum spacing between bands, and aggregated bandwidth. To enhance the system performance, an extension to a multilevel architecture based on the analog combination of pulsed waveforms is also demonstrated. Both architectures (two and seven levels) were implemented in a field-programmable gate array. Measurement results in terms of signal-to-noise ratio, error-vector magnitude, and adjacent-channel power ratio are presented and discussed. In Implementation-I, the two-level architecture presents a frequency agility from 0.1 to 2.5 GHz (with a frequency resolution Manuscript received January 5, 2018; revised April 13, 2018 and June 21, 2018; accepted July 5, 2018. This work was supported by Mitsubishi Electric Research Laboratories. The work of D. C. Dinis was supported in part by FCT/MEC through national funds, in part by the FEDER PT2020 Partnership Agreement under Project UID/EEA/50008/2013, and in part by national funds through FCT under the Ph.D. Grant PD/BD/105857/2014. The work of A. S. R. Oliveira and J. Vieira was supported in part by FCT/MEC through national funds and in part by the FEDER PT2020 Partnership Agreement under Project UID/EEA/50008/2013. (Corresponding author: Rui Ma.) D. C. Dinis was with Mitsubishi Electric Research Laboratories, Cambridge, MA 02139 USA. He is now with the Departamento de Electrónica, Telecomunicações e Informática, Instituto de Telecomunicações, Universidade de Aveiro, 3810-193 Aveiro, Portugal (e-mail:
[email protected]). R. Ma, K. H. Teo, and P. V. Orlik are with Mitsubishi Electric Research Laboratories, Cambridge, MA 02139 USA (e-mail:
[email protected];
[email protected];
[email protected]). S. Shinjo and K. Yamanaka are with the Information Technology R&D Center, Mitsubishi Electric Corporation, Kamakura 247-8501, Japan (e-mail:
[email protected]; yamanaka.koji@cj. mitsubishielectric.co.jp). A. S. R. Oliveira and J. Vieira are with the Departamento de Electrónica, Telecomunicações e Informática, Instituto de Telecomunicações, Universidade de Aveiro, 3810-193 Aveiro, Portugal (e-mail:
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2860972
of 4.88 MHz) with an aggregated bandwidth of 56.26 MHz. In Implementation-II, the seven-level design presents a frequency agility from 0.1 to 2 GHz (with a frequency resolution of 3.906 MHz) with an aggregated bandwidth of 112.5 MHz. Index Terms— All-digital transmitters (ADTs), carrier aggregation (CA), delta–sigma modulation (M), fieldprogrammable gate array (FPGA)-based transmitters, multiband radio-frequency (RF) transmission, software-defined radio.
I. I NTRODUCTION
W
IRELESS communications are an essential part of the modern information infrastructure. The last decade has been marked by the exponential spread of handsets, such as smartphones, tablets, as well as new network-dependent devices. The challenging requirements, in terms of high data-rate communication links, have driven the successive generations of standards with higher throughput, mobilitysupport, and increased quality of service and quality of experience. Nonetheless, all further progress must be done in a smooth and efficient manner without entailing in increased operational expenditure/capital expenditure costs. Increasingly, this implies that the radio resources must be efficiently exploited, and at the same time, higher data-rate wireless access technologies must be developed. To meet the data-rate requirements in an efficient way, the first step has involved the augment of the available bandwidths in the 3G systems [1]. Then, in an attempt to achieve scalable wider bandwidths, without spectrum allocation constraints, the concept of carrier aggregation (CA) was introduced in 4G systems, such as Long-Term Evolution (LTE)-Advanced [1]. By standardizing the contiguous and the noncontiguous CA capabilities, the combination of multiple frequency bands to conduct high-speed data transmission was enabled. Due to the commercial success of LTE-Advanced features, it is expected that they will continue to evolve, as a part of 5G technologies [2]. To accomplish the radio access network (RAN) expectations in a compact and efficient way, there is a strong need for the development of flexible, agile, and reconfigurable radio transceivers, with a native support for multiple bands and multiple standards [3], [4]. The integration of these features seems to be of paramount importance to provide an efficient
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
approach to the establishment of multiple, concurrent, and frequency-agile data links between all the RAN parties [5]. The concept of all-digital transmitter (ADT) has been targeted as a promising path toward the development of the next generation of radio-frequency (RF) transceivers. The promising potential to design compact and versatile wireless communication transceivers has attracted much and renewed attention. They propose a fully digital datapath from baseband (BB) up to the RF stage. This enables the design of low-complex and flexible transmitters. The block diagram of the most common ADTs found in the state of the art (SoA) (herein referred to as BB-stage ADT) is shown in Fig. 1(a). The underlying idea is the quantization of an m-bit digital signal into a two-level representation, resulting in signals with constant envelope. After a digital upconversion (DUC) to the desired carrier frequency, the pulsed representation can be amplified by highly efficient and nonlinear amplifiers, such as the switched-mode power amplifiers (SMPAs). After the amplification, a bandpass filter is required to reconstruct the signal before being radiated by the antenna. The fully digital behavior inherently leads to agile, flexible, reconfigurable, multistandard, and quite important for this paper, multiband RF front ends with a minimal external front end. Nevertheless, despite the apparent ideal and native support for the multiband capability, design challenges associated with the noncontiguous CA transmission have hampered the development of multiband solutions. Within the scope of this research line, the aim of this paper is the proposal of a real-time architecture that enables a wideband agility in the placement of multiple bands and at the same time that can be embedded and synthesized within an field-programmable gate array (FPGA). To meet the specifications, highly parallelized architectures, which allow high equivalent sampling rates, should be explored. In these architectures, high sampling rates can be achieved while maintaining relative lower individual sampling rates (≤100 MHz). The remainder of this paper is organized as follows. In Section II, the state of the technology is outlined. In Section III, the main contributions of this paper are summarized. In Section IV, a parallel concurrent triple-band transmitter architecture is proposed. Section V details the experimental results, and the conclusions are drawn in Section VI. II. S TATE OF THE A RT As introduced earlier, the reported literature on noncontiguous CA ADTs is quite limited. In general, multiband transmission can only be achieved with integer multiples of the modulators’ sampling frequencies [6] or with reduced sampling rate topologies [7], [8]. Others employ bulky and inefficient power combiners to join different bands before transmission [4], [7]. All these difficulties in designing multiband transmission arise from the placement of the DUC after the pulse encoding [refer to Fig. 1(a)]. Following this approach, as the encoded signals have a considerable amount of out-of-band noise distributed over the entire spectrum, the upconversion to the different bands typically leads to a degraded system performance. This issue was already introduced in [9], where a precompensation mechanism was
Fig. 1. Illustration of the general block diagram of (a) BB-stage ADT and (b) RF-stage ADT.
proposed to minimize the amount of out-of-band noise that is folded back to the bands of interest. However, the application of this mechanism is quite limited. In fact, it can just be applied in architectures, where the out-of-band noise (that falls in the desired bands) is correlated with the input signal. In addition to that, as far as the FPGA-based architectures are concerned, the limited sampling rate from the programmable logic subsystems (typically less than 200 MHz) bounds the first Nyquist zone (NZ). This considerably limits the maximum distance between different bands to twice this value [assuming complex single-sideband upconversion schemes]. Thus, the one reported to achieve higher spans between the bands is based on the utilization of replicas from different NZs [6]. However, the inherent decrease in terms of SNR associated with the need of maintaining an integer multiplicity in all the involved sampling rates/frequencies leads to a reduced performance. The latter paragraph is clear in the definition of the major bottleneck to the design of noncontiguous CA ADTs: the DUC is positioned after the pulse encoder. As previously stated, two disadvantages arose: the encoded signal is not band-limited, which may jeopardize the signal integrity of each band, and the NZ’s sampling rate is too low, limiting the agility. Thus, to ensure a wideband agility, novel techniques should be followed to ensure the application of the pulse encoding after the DUC [the concept of RF-stage ADT shown in Fig. 1(b)]. Despite the simplicity of this concept, the limited sampling rate from the FPGA’s programmable logic subsystems has precluded the implementation of this concept. Up to now, the only design methodology that enables the synthesis of such architecture into an FPGA was recently proposed in [10]. The use of highly parallelized structures to enable high equivalent sampling rates while maintaining lower individual sampling rates is reported. By doing this, it is possible to synthesize multirate equivalents from the DUC and the pulse encoder, which, ultimately, leads to the placement of the DUC before the pulse encoder. However, the proposed architecture has an intensive utilization of the primitive resources, as demonstrated in [10]. Thus, the replication of fully digital RF chains to
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DINIS et al.: REAL-TIME ARCHITECTURE FOR AGILE AND FPGA-BASED CONCURRENT TRIPLE-BAND ALL-DIGITAL RF TRANSMISSION
3
Fig. 2. (a) General block diagram of the implemented all-digital RF transmitter, embedded into an FPGA. (b) Detailed illustration of the digital combiner and the M with N parallel stages, presented in (a).
enable the multiband capability is only possible with novel design methodologies and optimization techniques. III. M AIN C ONTRIBUTIONS To the best of our knowledge, the work presented here is the first where triple-band all-digital RF-stage transmitters are completely synthesized and embedded into an FPGA. In particular, the contributions of this paper can be summarized as follows. 1) Demonstration of a methodology to generate a delta– sigma () architecture with M independent and controllable noise-transfer function (NTF)’s notches. 2) Integration of the aforementioned delta–sigma modulation (M) in a fully parallel architecture that enables the transmission of three different RF bands. This is the first architecture embedded into an FPGA with a maximum span of approximately 2.5 GHz. 3) Proposal and integration of several optimization techniques to relax the resource usage of this architecture. First, the propagation of state registers (proposed in [11]) will be introduced as a mean to relax the resources usage, by reducing the amount of samples that must be temporarily stored (in the deinterleaving/interleaving modules). Second, Corner-Bender Matrix Transposers [12] will be proposed as promising candidates to the deinterleaving and interleaving modules. It will be shown that the single-band all-digital RF-stage architecture (proposed in [10]) can be extended to enable the synthesis of multiband RF transmitters. By taking advantage
of the direct synthesis of RF waveforms in the digital domain before the pulse encoder, an unprecedented frequency agility is achieved. This frequency agility allows us to surpass the SoA by presenting the first concurrent multiband all-digital RF-stage transmitter with a maximum span of almost 2.5 GHz between the bands. IV. PARALLEL C ONCURRENT T HREE -BAND T RANSMITTER A RCHITECTURE As previously introduced, to ensure an agile multiband transmission, the DUC stage must be applied before the pulse encoder. Otherwise, the maximum span will always be limited to the first NZ. A. Digital Upconversion Stage and Bands Combination To enable the transmission of three different bands, the DUC stage must be replicated three times, as shown in Fig. 2(a). Each DUC is comprised by a polyphase interpolation finite impulse response (FIR) filter, a polyphase in-phase/quadrature (I/Q) digital direct synthesis (DDS), working as a digital local oscillator, and parallel mixers. The polyphase interpolation FIR filter increases the sampling rate of the BB signal from FsBB to FsRF , dividing the samples in N different phases. Then, N digital mixers perform the elementwise multiplication and subtraction between the interpolated samples and the sine and cosine waveforms from the polyphase DDS. The polyphase DDS, first introduced in [10], combines N conventional and single-rate DDS modules. As reported in [10], the minimum frequency resolution ( f ) from this architecture
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
is computed as follows: FsBB N FsRF = L (1) 2L 2 where L is the number of bits from each phase accumulator. The equation demonstrates that, in this architecture, the final bitrate FsRF defines the modulator’s first NZ (from 0 to FsRF /2). This means that the carrier frequency from each band can be located in any frequency bin from the frequency grid given by the DDS’ frequency resolution within this NZ. Subsequently, the three interpolated and upconverted bands are combined to generate an equivalent representation with N different phases which contains the three different bands. At the same time, the resultant signal must be scaled down to the suitable pulse encoder’s dynamic range. f =
B. Pulse Encoder Main Architecture
Fig. 3. Illustration of the block diagram of a Corner-Bender Matrix Transposer. The designed interleaving and deinterleaving modules are based on this transposer.
The pulse encoder must be designed to reduce the number of output levels while ensuring minimal distortion in the three different bands. Moreover, the three different bands must be independently controllable and tunable, which typically implies the use of feedback loops to implement noise shaping. To accomplish these requirements, techniques were selected due to their inherent noise-shaping property that minimizes the amount of in-band distortion. 1) M With Propagation of State Registers: As previously introduced, the limited sampling rate of BB-stage ADTs presents the major bottleneck to the design of single-bit multiband architectures. This arises from the limited BB sampling rate that inherently implies a limited first NZ. Thus, to ensure a wide placement of all the different bands, the pulse encoder’s sampling rate must be increased. One way to accomplish this is based on the parallelization of Ms. This was proposed in [13], and the first implementation of this architecture within an RF-stage ADT was reported in [10]. This architecture achieves the high sampling rates by feeding N independent slices (of size K) from the same input signal to N different Ms. To implement such architecture, in addition to the parallelization of N Ms, two new modules were introduced: the deinterleaving and interleaving modules. These are mainly based on first-in first-outs (FIFOs) and are required to accommodate and rearrange the input/output data. The aim is to ensure that the feedback loop from each M is processing contiguous samples of the input signal. However, it is demonstrated in [11] that the impulsive noise caused by the discontinuities between different slices (with a period of K samples) degrade the system’s performance. The most natural way to ensure a high level of signal integrity is to increase the number of contiguous samples (K ), reducing the number of glitches per second that occurs on the block transitions. Nonetheless, this leads to a considerable amount of memory-primitive resources (mainly FIFOs). A different approach is based on the propagation of the state registers between the adjacent Ms, and it was proposed in [11]. By adopting this technique, the periodicity of the impulsive noise can be reduced by a factor of N. Thus, for a given performance, the number of contiguous samples can be lowered (by the same factor) leading to savings in the memory-primitive
resources compared with [13]. In spite of these savings, the allocation of block block random-access memory (BRAM) for FIFOs is not always directly proportional to K . In particular, when the number of phases N is increased, a significant amount of resources tend to be allocated regardless of the required depth and even for low values of K . As an example, in Xilinx programmable logic systems, if the concatenation of bits is higher than 72, RAMB36E1 modules are directly chosen and allocated. In the end, entire slots of 36 kb can be allocated for only storing a few dozens of bits, leading to extremely inefficient resource usage due to the low-occupancy rate. This inefficiency is quite exacerbated when the amount of digital subsystems is increased, which is a mandatory step in this paper, in order to enable the synthesis of multiband RF-stage transmitters. Thus, new approaches should be developed to obviate the use of FIFOs. We propose the use of a “Corner-Bender Matrix Transposer” [12] to maintain the desired functionality with just registers and multiplexers. The only requirement is the number of contiguous samples (K ) to be equal to the number of parallel phases (N). While this requirement can be a limitation to the truly parallel M architecture, due to the weak signal integrity (in [13]), it will be shown that, if the propagation of state registers between modulators [11] is performed, a reasonable performance can be achieved. The Corner-Bender Matrix Transposer is shown in Fig. 3. This design does not use any FIFOs, and it is fully synchronous, does not require any control signal, and only uses fixed size shift register delays. The latency is the minimal possible and equal to the number of contiguous samples (K ). Moreover, the same module can be used in the deinterleaving and interleaving subsystems. In short, it will be seen that the inclusion of this module has enabled a drastic reduction on BRAM primitive resource usage. The detailed illustration of the pulse encoder is shown in Fig. 2(b). One can clearly visualize the utilization of the Corner-Bender Matrix Transposers as deinterleaving and interleaving modules (referred to as “DEINT/INT” in the illustration). In addition to this, it is also depicted the propagation of state registers through the displacement of the s
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DINIS et al.: REAL-TIME ARCHITECTURE FOR AGILE AND FPGA-BASED CONCURRENT TRIPLE-BAND ALL-DIGITAL RF TRANSMISSION
5
Fig. 4. Representation in the z-domain of a generic error-feedback modulator with an IIR feedback loop. The complex poles reduce the NTF’s gain, ensuring the stability of the system.
Fig. 5. Z -domain representation of the tunable second-order bandpass modulator.
However, for the used wireless-modulated signals, this architecture may lead to stability issues, specifically depending on the notches placement. Thus, to control the NTF’s gain, complex poles must also be included, leading to the generic error-feebdack modulator with an IIR feedback loop that is shown in Fig. 4. In the present case, six complex poles were integrated in the architecture. Each pair of poles closely follows each pair of zeros, to ensure the stability of the system, according to [14]. This leads to N T F(z) =
modulators, together with the “Synchronous Start-up Control Logic” module [11]. 2) M Design: A modulator must be designed and replicated N times according to the architecture introduced in the last section. The choice of it must be done according to two different requirements. First, the architecture must present an NTF with three well-defined notches to ensure the integrity of the three different bands. Second, the notches must also be tunable, and independently controllable, to provide the desired real-time agility to the overall system. At last, the modulator’s critical path must be as short as possible, reason for why the architectures such as cascade-ofresonators, feedback/feedforward or cascaded-of-integrators, feedback/feedforward cannot be chosen. Thus, the error-feedback architecture (shown in Fig. 5) was selected as a starting point for this paper. By modeling the quantization noise by a random noise signal [E q (z)], the following transfer function can be derived: Y (z) = ST F(z)X (z) − N T F(z)Eq(z)
(2)
where ST F(z) = 1, N T F(z) = (1 + H (z)), and H (z) = αz −1 +z −2 . The modulator’s behavior depends on the variable α, defined as α = −2 cos(2π Fc1 /Fs), where Fc1 is the notch center frequency and Fs is the modulator’s sampling rate. In particular, it has two complex zeros that allow the adjustment of the notch carrier as desired. An intuitive approach to achieve a triple-band modulator could pass through the inclusion of two pairs of other complex zeros, defined by β and γ N T F(z) = (1 + αz −1 + z −2 ) · (1 + βz −1 + z −2 ) · (1 + γ z −1 + z −2 )
(3)
where β = −2 cos(2π Fc2 /Fs) and γ = −2 cos(2π Fc3 /Fs) with Fc2 and Fc3 the notches center frequencies.
1 + βz −1 + z −2 1 + αz −1 + z −2 · −1 2 −2 1 + r αz + r z 1 + rβz −1 + r 2 z −2 1 + γ z −1 + z −2 · 1 + r γ z −1 + r 2 z −2
(4)
where r ranges from 0 to 1, and controls the closeness between poles and zeros. This equation can be simplified to N T F(z) 1 + A0 z −1 + B0 z −2 + C0 z −3 + D0 z −4 + E 0 z −5 + z −6 = 1 + F0 z −1 + G 0 z −2 + H0 z −3 + I0 z −4 + J0 z −5 + K 0 z −6 (5) where A0 = (α + β + γ ), B0 = (3 + αβ + γ (α + β)), C0 = (2(α + β) + γ (2 + αβ)), D0 = (3 + αβ + γ (α + β)), E 0 = (α + β + γ ), F0 = r (α + β + γ ), G 0 = r 2 (3 + αβ + γ (α + β), H0 = r 3 (2(α + β) + γ (2 + αβ), I0 = r 4 (3 + αβ + γ (α + β), J0 = r 5 (α + β + γ ), and K 0 = r 6 . In turn, the feedack loop transfer function [H (z)] can also be computed H (z) =
A1 z −1 + B1 z −2 +C1 z −3 + D1 z −4 + E 1 z −5 + F1 z −6 1+ F0 z −1 +G 0 z −2 + H0 z −3 + I0 z −4 + J0 z −5 + K 0 z −6 (6)
where A1 = (α + β + γ )(1 − r ), B1 = (3 + αβ + γ (α + β))(1 − r 2 ), C1 = (2(α + β) + γ (2 + αβ))(1 − r 3 ), D1 = (3 + αβ + γ (α + β))(1 − r 4 ), E 1 = (α + β + γ )(1 − r 5 ), and F1 = (1 − r 6 ). The impact of placing poles in H (z) in terms of magnitude of the NTF is shown in Fig. 6. The magnitude is reduced, mitigating the potential instability. However, as a consequence, the notches’ processing bandwidth becomes lower. C. Extension to Multilevel Output As will be seen in Section V, the single-bit architecture in Fig. 2 was experimentally validated with successful results in terms of agility in the bands’ placement. However,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I P ROPOSED M APPER FOR THE A MPLIFICATION S CHEME S HOWN IN F IG . 7
TABLE II Fig. 6. NTF’s frequency response and zero-poles placement according to the different feedback-loop transfer functions.
Fig. 7. Detailed illustration of the proposed amplification scheme based on an extended H-bridge SMPA.
to enhance the system performance [assessed in terms of adjacent-channel power ratio (ACPR), error-vector magnitude (EVM), and modulation error ratio (MER)], a final stage that performs an extension to a multilevel output was included. The synthesis of this multilevel output is based on the combination of pulsed trains. The final architecture is shown in Fig. 8. Three major differences can be pointed out: the single-bit quantizer from each M was replaced by a seven-level quantizer, seven different multigigabit transceivers (MGTs) were activated instead of just 1, and a LUT mapper was included before the MGTs. This LUT mapper is the core of this last stage and converts a multilevel input signal into a combination of seven different pulsed train sequences. The mapper must be designed according to the used analog combination network. The envisioned combination network to be applied in this architecture was first proposed in [15], and an extension to five levels was later reported in [16]. This increase in the number of levels is possible due to the use of an asymmetric extended H-bridge combining network, whose conceptual diagram is shown in Fig. 7. In particular, the switches from 4 up to 7 should have double size transistors when compared with the remaining ones. In the case of this combining network, the mapper is depicted in Table I. In particular, one can realize that this mapper can generate a three-level output (dark gray background), a five-level output (dark gray and light gray background), or a seven-level output (by using all the table elements). Due to the lack of a proper combining network based on this proposal, another option had to be chosen for the sake of validating the proof of concept. Thus, a conventional
I MPLEMENTED M APPER FOR THE U SE OF A SSESSMENT OF THE M ULTILEVEL O UTPUT W ITH A P OWER C OMBINER
RF power combiner was utilized to perform the combination of the different serializers’ outputs, given the absence of extended H-bridge SMPA at the experiment stage. In this case, the mapper had to be updated to Table II. This new mapper can be simply seen as the linear combination of the pulse trains in order to achieve a higher number of output levels. One can also realize from Table II that the column related to the M GT0 is constant. This means that one of the serializers could be removed. However, we decided to keep it to maintain the coherency with our ideal combining network based on the H-bridge. V. E XPERIMENTAL R ESULTS This section presents and discusses the experimental setup and the measured results. In addition to that, this paper is compared to other architectures found in the SoA. To validate the proposed multiband architecture, the two different ADTs (shown in Figs. 2 and 8) were implemented in a Virtex 7 XC7VX485T FPGA using the Xilinx FPGA VC707 Evaluation Kit. Some details related to the fixed-point precision of all the major modules are reported in Table III. The critical path in the single-bit project imposed maximum FsBB of 78.125 MHz, and consequently, the bitrate of 5 Gb/s was chosen. For the multilevel case, the implementation of a multibit quantizer caused a reduction of the maximum BB sampling rate down to 62.5 MHz, leading to the serializer’s bitrate of 4 Gb/s. The frequency resolutions can be computed by (1). In particular, the different bitrates together with the 10-bit resolution of the DDS’ phase accumulator lead to 4.8828 and 3.906 MHz of frequency resolution for the single-bit and seven-level case, respectively. The experimental setups are shown in Figs. 9 and 13 for the single-bit and multibit projects, respectively. In the first one, the MGT was directly connected to a
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DINIS et al.: REAL-TIME ARCHITECTURE FOR AGILE AND FPGA-BASED CONCURRENT TRIPLE-BAND ALL-DIGITAL RF TRANSMISSION
7
Fig. 8. General block diagram of the implemented all-digital RF transmitter, embedded into an FPGA with an extension to a multilevel output. The LUT performs the mapping between the different amplitude levels and the pulsed trains that drive multiple MGTs. TABLE III F IXED -P OINT P RECISION AT THE O UTPUT OF THE D IFFERENT S TAGES S HOWN IN F IGS . 2 AND 81
vector signal analyzer (VSA) Keysight EXA N9010A. In the multibit project, lane-to-lane deskew techniques were implemented in the digital hardware, and extra phase alignment cables were attached to some MGTs to ensure the lane-to-lane synchronization. Moreover, the amplitude swing of each MGT was slightly changed to ensure the same output power. Afterward, the seven MGTs were combined into a Power Combiner ZN8PD1-63W+ from Mini-Circuits. The combined output was connected to the same VSA. The VSA was configured to perform ACPR measurements as well as record the I/Q data to be further analyzed in the VSA LabView Software running in the computer. To enable the sweep of different carrier frequencies, modulations, bandwidths, and to record such figure of merit (FoM) as spectrum, MER, and EVM, an automated test bed was developed. This test bed has the host computer controlling/managing the FPGA-based ADT through a USB connection and connected to the VSA through an Ethernet connection. One note related to the sweep of carrier frequencies must be highlighted. As our system is generating three different bands, it is important to define the relative placement of the remaining carriers, while a given carrier frequency is swept. Here, several different scenarios could be explored. For instance, the three different carriers could be placed with a minimal separation between them (such as in contiguous CA). While the band in the middle would present the best adjacent-channel power ratio upper (ACPR-U) and adjacent-channel power ratio lower (ACPR-L), the one at the left would present a worst ACPR-L and a better ACPR-U, and so on. Thus, to be fair in the report of the results, it was decided to place the carrier to be swept
Fig. 9. Block diagram and photograph of the experimental setup according to the single-bit architecture in Fig. 2.
alone, and the remaining ones together. The spectra shown in Figs. 12 and 16 can provide a better insight about the followed procedure. In this case, the band at the left was swept and measured, while the other two were maintained together in a constant distance from the interest carrier frequency. In this case, the remaining bands started in the right side of the center frequency of the NZ (FsRF /4) and ended in the left side of the center frequency. In our point of view, this approach is quite fair, by presenting the worst results that can be obtained with this implemented architecture, for the given scenario. A. Single-Bit Three-Band RF Transmission This section details the experimental results for the single-bit project, whose experimental setup is shown in Fig. 9. Fig. 10 shows the measured ACPR results (lower and upper) in the range from 100 MHz up to 2.5 GHz with a step of 48.828 MHz for three different bandwidths per band: 4.688, 9.375, and 18.75 MHz. It can be seen that all the measurements maintain the same coherency: the increase of the bandwidth leads to higher quantization noise around the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 10. Measured ACPR-L and ACPR-U results of a sweep in the carrier frequency with a 16-QAM-modulated signal with 4.688, 9.375, and 18.75 MHz of bandwidth.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Obtained spectrum with a full span of 2.5 GHz for the carrier frequencies of 600.59 MHz, 2.002 GHz, and 2.4023 GHz with a bandwidth of 18.75 MHz. TABLE IV O CCUPIED R ESOURCES FOR THE P ROPOSED S INGLE -B IT RF T RANSMITTER D ESIGN
Fig. 11. Measured EVM and MER results of a sweep in the carrier frequency with a 16-QAM-modulated signal with 4.688, 9.375, and 18.75 MHz of bandwidth.
carrier frequencies, which consequently, increases the ACPR values. The signals with higher bandwidth present the worst results in terms of ACPR: between −20 and −30 dBc. MER and EVM obtained pairs are reported in Fig. 11. Again, the same intuitive conclusions can be extracted. Narrow bandwidths provide better FoMs. In particular, the maximum obtained MERs were 38, 35, and 31.5 dB for the aforementioned bandwidths. The spectrum in a span of 2.5 GHz for the carrier frequencies of 600.59 MHz, 2.002 GHz, and 2.4023 GHz is shown in Fig. 12. Finally, the occupied resources in the FPGA for the proposed architecture are presented in Table IV. A high percentage of DSP slices is used in the parallel M as well as in the polyphase interpolation FIR filters. These filters were designed with a four-tap transposed direct-form architecture per phase. In this paper, the BRAM is just being used to accommodate the multiple DDS’s read-only memory. Nonetheless, depending on the requirements, there is enough margin to implement an even more efficient memory usage through the exploration of the symmetry of the DDS’ sinusoidal waveforms. At last, we consider that the inclusion of the Corner-Bender Matrix Transposer was quite successful, because no BRAM is being used in the deinterleaving/interleaving modules.
Fig. 13. Block diagram and photograph of the experimental setup according to the seven-level architecture in Fig. 8.
B. Seven-Level Three-Band RF Transmission This section details the experimental results for the concurrent seven-level three-band RF transmission, whose experimental setup is shown in Fig. 13. Fig. 14 shows the measured ACPR results (lower and upper) in the range from 100 MHz up to 2.0 GHz with a step of 39.062 MHz for five different bandwidths per band: 3.75, 7.5, 15, 18.75, and 37.5 MHz. It can be seen that all the measurements maintain the same coherency: the increase
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DINIS et al.: REAL-TIME ARCHITECTURE FOR AGILE AND FPGA-BASED CONCURRENT TRIPLE-BAND ALL-DIGITAL RF TRANSMISSION
Fig. 14. Measured ACPR-L and ACPR-U results of a sweep in the carrier frequency with a 16-QAM-modulated signal with 3.75, 7.5, 15, 18.75, and 37.5 MHz of bandwidth.
of the bandwidth leads to higher quantization noise around the carrier frequencies with the inherently increase in the ACPR values. The signals with a higher bandwidth present the worst results in terms of ACPR: between −20 and −30 dBc. By comparing this figure with the single-bit one (Fig. 10), it is to understand the impact of using a multibit quantizer: the same results were achieved with twice the signal bandwidth. MER and EVM obtained pairs are demonstrated in Fig. 15. Due to the limited available I/Q bandwidth from the VSA (25 MHz), it was not possible to get some measurements for the 37.5-MHz case. Again, the same intuitive conclusions can be extracted. Narrow bandwidths provide better FoMs. In particular, the maximum obtained MERs were 38, 37, 36, and 34 dB for the aforementioned bandwidths. The spectrum in a span of 2.0 GHz for the carrier frequencies of 500 MHz, 1.6016 GHz, and 1.9219 GHz is shown in Fig. 16. As a final note, it is important to highlight that the gains in terms of in-band performance were not the ones expected. As ideally almost 3 bits are available in the output, the theoretical in-band performance gains should be approximately 12 dB. Thus, simulations were carried out to understand this drop of performance, and it was possible to conclude that it was caused by the nonideal timing alignment and amplitude matching between multiple lanes. However, on the other hand, as far as the out-of-band noise is concerned, by comparing spectra in the single-bit (Fig. 12) and the multibit output (Fig. 16), one can verify that the quantization noise power is actually reduced. In the single-bit spectra, the quantization noise power reaches a maximum value of −28 dB, while in the multibit spectra, this value is reduced to −40 dB. Finally, the occupied resources for the seven-level case are shown in Table VI. The results are quite similar to the ones reported in the single-bit project. C. Comparison to the SoA Table V presents an overview of the relevant modulators presented in the literature. Table V is aimed to focus on the number of transmitted bands, the achieved bandwidth per band, the maximum span between bands, the serializer’s
9
Fig. 15. Measured EVM and MER results of a sweep in the carrier frequency with a 16-QAM-modulated signal with 3.75, 7.5, 15, and 18.75 MHz of bandwidth.
Fig. 16. Obtained spectrum with a full span of 2.5 GHz for the carrier frequencies of 500 MHz, 1.6016 GHz, and 1.9219 GHz with a bandwidth of 18.75 MHz.
sampling rate, the modulator type, the number of levels from the output signal, the number of transmitters, the dependence of the carrier frequency with the serializer carrier frequency, the hardware implementation, and, last but not least, the agility. By agility, we are referring to implementations or techniques that allow the adjustment of the carrier frequency without changing the output’s sampling rate. Clearly, the two proposed implementations surpass the SoA in several aspects. In the first place, they are the first reported architectures that enable the synthesis of three different bands with the maximum spans of 2.5 and 2 GHz within an online FPGA implementation. The maximum spans from the SoA (1 and 1.8 GHz) were achieved with offline FPGA/arbitrary waveform generator (AWG) implementations [8], [17]. The proposed architectures also demonstrated the highest bandwidth per band (18.75 and 37.5 MHz), leading to the highest aggregated bandwidth (56.25 and 112.5 MHz) from all the SoAs. The previous maximum aggregated bandwidth (40 MHz) was reported in [18] with an offline 5-bit AWG implementation. In addition to that, it must be pointed out that this paper presents no additional OSR between the maximum span and the output sampling rate (generation is possible up to the Nyquist limit). Ultimately, this leads to the minimal output
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE V C OMPARISON OF THE P ROPOSED A RCHITECTURES W ITH S OME R ELEVANT M ODULATORS F ROM THE S OA 2
sampling rate, which is essential to minimize the switching losses from the amplification stage. Last, one can also recognize that the proposed architectures are the first ones from the SoA to achieve a real-time agility. This means that the three bands can be located in any bin from the frequency grid given by the DDS’ frequency resolution within the first NZ (2.5 and 2 GHz in the single-bit and multibit implementations, respectively). All the other approaches based on FPGA online implementations did not possess this capability, due to the fact that the DUC was applied after the pulse encoder (the concept of BB-stage ADTs). It must also be mentioned that, as proposed in [10], when a fine frequency resolution is required, a single-rate digital intermediate frequency together with a single-rate high-resolution DDS can be included before the polyphase interpolation FIR filter of each band. One final note related to the scalability of this architecture must be highlighted. The main focus of this paper was the proposal of a fully agile multiband RF-stage transmitter, suitable for contiguous/noncontiguous CA scenarios, that could be embedded into programmable logic. First, even though we have implemented a triple-band RF transmitter, the formulated design methodology is independent of the number of bands. However, each time that more bands are included, there is an additional increase in the critical path that forces the reduction of the serializer’s sampling rate. In addition to this, more resources are also required. Thus, from a system designer’s point of view, the inclusion of optimization techniques in terms of timing/area must be adopted. As an example, a different work demonstrated in [20] shows that the careful placement of the NTF zeros can leverage the implementation of optimization strategies with constant multipliers that can boost the global sampling rate. In that specific work, a sampling rate of 10 GS/s
TABLE VI O CCUPIED R ESOURCES FOR THE M ULTILEVEL RF T RANSMITTER D ESIGN
was achieved with a third-order FIR feedback loop in the M. The same work was also the starting point in the optimization of the deinterleaving/interleaving modules that culminated in the use of Corner-Bender Matrix Transposers in this paper. As far as the resources’ usage is concerned, it must be highlighted that, the amount of logic resources that were reported in both implementations should not be taken as the minimum required values. Several different optimization techniques, in the critical subsystems, can always be done according to different requirements. In the end, it can be concluded that the proposed parallel architecture has a large potential for the design of real-time multiband reconfigurable digital RF transmitters. In addition to the single-bit architecture, the advantages of increasing the number of bits in the output are demonstrated, as well as a promising amplification chain based on asymmetric extended H-bridge SMPA was illustrated (Fig. 7). This type of chain enables the amplification of a multilevel signal through the combination of several amplified pulsed trains. Thus, highly efficient and nonlinear amplification techniques are explored regardless of the peak-to-average-power ratio of the modulated signal. Moreover, instead of putting effort in minimizing the quantization noise power in the analog
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DINIS et al.: REAL-TIME ARCHITECTURE FOR AGILE AND FPGA-BASED CONCURRENT TRIPLE-BAND ALL-DIGITAL RF TRANSMISSION
domain, we demonstrated that we could actually reduce the quantization noise power that is being generated in the digital domain. In the end, this strategy has a twofold advantage: it reduces the requirements for the analog reconstruction filter and at the same time, it ensures higher in-band performance in the generated signal. In this viewpoint, we also demonstrate how this can be implemented in our architecture: replacing the single-bit quantizer by a multibit quantizer and introducing an LUT mapper that performs the mapping from a multilevel signal to pulsed trains. We consider that our approach of using the conventional RF power combiner with an alternative mapper validates our point of view and, at the same time, demonstrates the practical gains that can be achieved (higher ACPR, higher MER, and lower filtering requirements) as well as the practical limitations that every designer needs to take into consideration: the synchronization and the amplitude impairments between multiple lanes. In addition to that, the real-time reconfiguration of all the architectures involves a small change in the set of variables that control the polyphase DDSs and the polyphase Ms. VI. C ONCLUSION In this paper, a novel architecture for designing agile and real-time reconfigurable ADTs for contiguous or noncontiguous CA scenarios was presented. The technique was proposed and validated on an FPGA. The reported results demonstrate a superior performance in terms of agility (from 0.1 up to 2.5 GHz), maximum span between bands (up to 2.5 GHz), and aggregated bandwidth (up to 56.25 MHz). To enhance the system performance, an extension to a multilevel architecture was also proposed, enabling the transmission of 112.5 MHz of aggregated bandwidth. In addition to the superior performance, compared to the SoA counterparts, the fully digital behavior of this architecture shows a strong potential to be synthesized in custom application-specific integrated circuits. This paper has demonstrated the feasibility of software-defined radio techniques for agile and concurrent multiband transmission for LTE-Advanced and beyond mobile communications.
11
[8] T. Maehata, K. Totani, S. Kameda, and N. Suematsu, “Concurrent dual-band 1-bit digital transmitter using band-pass delta–sigma modulator,” in Proc. Eur. Microw. Conf., Nuremberg, Germany, Oct. 2013, pp. 1523–1526. [9] D. C. Dinis, R. Cordeiro, F. M. Barradas, A. S. R. Oliveira, and J. M. N. Vieira, “Agile single- and dual-band all-digital transmitter based on a precompensated tunable Delta–Sigma modulator,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4720–4730, Dec. 2016. [10] D. C. Dinis, R. Fiel, A. S. R. Oliveira, J. M. N. Vieira, and T. O. Silva, “A fully parallel architecture for designing frequency-agile and realtime reconfigurable FPGA-based RF digital transmitters,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 3, pp. 1489–1499, Mar. 2018. [11] D. C. Dinis, R. F. Fiel, A. S. R. Oliveira, J. M. N. Vieira, and T. O. Silva, “Improving the performance of all-digital transmitter based on parallel delta–sigma modulators through propagation of state registers,” in Proc. IEEE Int. Midw. Symp. Circuits Syst., Boston, MA, USA, Aug. 2017, pp. 1133–1137. [12] P. Alfke, The Programmable Gate Array Data Book. San Jose, CA, USA: Xilinx, 1991. [13] R. Cordeiro, A. S. R. Oliveira, J. M. N. Vieira, and T. Silva, “Wideband all-digital transmitter based on multicore DSM,” in IEEE MTT-S Int. Microw. Symp., San Francisco, CA, USA, May 2016, pp. 1–3. [14] E. Roverato, M. Kosunen, and J. Ryynänen, “The synthesis of noise transfer functions for bandpass delta-sigma modulators with tunable center frequency,” in Eur. Conf. Circuit Theory Des. Dig., Trondheim, Norway, Aug. 2015, pp. 1–4. [15] L. F. Cygan, C. M. Williams, and A. M. Khan, “Method and apparatus for direct digital to radio frequency conversion,” U.S. Patent 7 825 724, Nov. 2, 2010. [16] Q. Zhu, R. Ma, C. Duan, K. Mukai, S. Shinjo, and K. H. Teo, “A 5-level efficient IFPWM power coding approach encoding LTE for class-S digital-RF transmitter with distortion correction,” in Proc. IEEE Radio Wireless Symp., Newport Beach, CA, USA, Jun. 2014, pp. 184–186. [17] S. Chung, R. Ma, S. Shinjo, K. Yamanaka, and K. H. Teo, “A concurrent triple-band digital transmitter using feedforward noise cancellation for delta–sigma modulation,” in Proc. Eur. Microw. Conf., Nuremberg, Germany, Oct. 2017, pp. 1140–1143. [18] S. Chung, R. Ma, S. Shinjo, H. Nakamizo, K. Parsons, and K. H. Teo, “Concurrent multiband digital outphasing transmitter architecture using multidimensional power coding,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 598–613, Feb. 2015. [19] N. V. Silva, A. S. R. Oliveira, and N. B. Carvalho, “Novel fine tunable multichannel all-digital transmitter,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jan. 2013, pp. 1–3. [20] D. C. Dinis, R. Ma, K. H. Teo, P. V. Orlik, A. S. R. Oliveira, and J. M. N. Vieira, “An FPGA-based multi-level all-digital transmitter with 1.25 GHz of bandwidth,” in Proc. IEEE MTT-S Int. Microw. Symp., Philadelphia, PA, USA, Jun. 2018, pp. 1–4.
R EFERENCES [1] M. Iwamura, K. Etemad, M. H. Fong, R. Love, and R. Nory, “Carrier aggregation framework in 3GPP LTE-Advanced [WiMAX/LTE update],” IEEE Commun. Mag., vol. 48, no. 8, pp. 60–67, Aug. 2010. [2] J. Lee et al., “LTE-advanced in 3GPP rel-13/14: An evolution toward 5G,” IEEE Commun. Mag., vol. 54, no. 3, pp. 36–42, Mar. 2016. [3] K. Rawat, M. S. Hashmi, and F. M. Ghannouchi, “Double the band and optimize,” IEEE Microw. Mag., vol. 13, no. 2, pp. 69–82, Mar./Apr. 2012. [4] S. Bassam, W. Chen, M. Helaoui, and F. Ghannouchi, “Transmitter architecture for CA: Carrier aggregation in LTE-Advanced systems,” IEEE Microw. Mag., vol. 14, no. 5, pp. 78–86, Jul. 2013. [5] R. Singh, Q. Bai, T. O’Farrell, K. L. Ford, and R. J. Langley, “Concurrent, tunable, multi-band, single chain radio receivers for 5G RANs,” in IEEE Veh. Technol. Conf. Dig., Sydney, NSW, Australia, Jun. 2017, pp. 1–5. [6] N. V. Silva, A. S. R. Oliveira, and N. B. Carvalho, “Evaluation of pulse modulators for all-digital agile transmitters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [7] T. Kitayabu and H. Ishikawa, “Generalized architecture of concurrent dual-band transmitter for spectrum aggregation system,” in Proc. IEEE Int. Symp. Pers., Indoor Mobile Radio Commun., Instambul, Turkey, Dec. 2010, pp. 111–116.
Daniel C. Dinis (GS’12) was born in Santa Comba Dão, Portugal, in 1990. He received the M.Sc. degree in electronics and telecommunications engineering from the University of Aveiro, Aveiro, Portugal, in 2013, where he is currently pursuing the Ph.D. degree in telecommunications. From 2014 to 2017, he was with the Telecommunications Institute, University of Aveiro, where he was involved in all-digital radio-frequency (RF) transceivers. In 2017, he was a Visiting Research Intern with Mitsubishi Electric Research Laboratories, Cambridge, MA, USA. Since 2018, he has been a Radar Front-End Engineer with Thales Nederland B.V., Hengelo, The Netherlands. His current research interests include all-digital RF transceivers, software-defined radios, RF systems, digital signal processing for communication and radar applications, and reconfigurable embedded systems.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
Rui Ma (SM’15) received the Dr.Ing. degree from the University of Kassel, Kassel, Germany, in 2009. From 2007 to 2010, he was a Research Fellow with the Microwave Electronics Lab, University of Kassel, where he was involved in the transistor modeling and radio-frequency (RF) power amplifier design. From 2010 to 2012, he was a Senior Research Engineer with Research, Nokia Siemens Networks, where he focused on the research and development of enabling power amplifier technologies for wideband radio. Since 2012, he has been with Mitsubishi Electric Research Laboratories, Cambridge, MA, USA. He is currently a Senior Principal Scientist of RF Research, responsible for projects focused on power amplifiers, digital transmitter, 5G radio, and emerging applications of GaN. He is an inventor or a co-inventor of over 15 U.S. patents and patent applications on RF-related topics.
Shintaro Shinjo (A’00–M’03–SM’13) received the B.S. and M.S. degrees in physics and Ph.D. degree in engineering from Keio University, Tokyo, Japan, in 1996, 1998, and 2011, respectively. In 1998, he joined the Mitsubishi Electric Corporation, Kamakura, Japan, where he has been involved in the research and development of microwave monolithic integrated circuits and solid-state power amplifiers. From 2011 to 2012, he was a Visiting Scholar with the University of California at San Diego, San Diego, CA, USA. Dr. Shinjo is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was a recipient of the Prize for Science and Technology (Development Category) of the Commendation for Science and Technology by the Minister of Education, Culture, Sports, Science and Technology in 2009 and the IEICE Electronics Society Award in 2011.
Koji Yamanaka (M’98) was born in Japan, in 1971. He received the B.Sc. degree in electric engineering and M.Sc. and Ph.D. degrees in electronic engineering from The University of Tokyo, Tokyo, Japan, in 1993, 1995, and 1998, respectively. In 1998, he joined the Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Japan, where he has been involved in the development of GaAs low-noise monolithic microwave integrated circuit amplifiers and GaN high-power amplifiers. From 2012 to 2018, he managed the Amplifier Group, Mitsubishi Electric Corporation. He is currently in charge of the Civil Application GaN Device Business Section. Dr. Yamanaka is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was a recipient of the Best Paper Prize of GAAS 2005 for his paper entitled “S and C Band Over 100W GaN HEMT 1-Chip High Power Amplifiers With Cell Division Configuration.”
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Koon Hoo Teo (M’06) received the M.S. and Ph.D. degrees in electrical engineering from the University of Alberta, Edmonton, AB, Canada, in 1985 and 1990, respectively. He was with Nortel Networks for about 15 years, where his main research and development areas were in 3G and 4G wireless communication systems and mesh networks. He is currently with Mitsubishi Electric Research Laboratories, Cambridge, MA, USA. He was one of the main authors of ANSI C63.17 for unlicensed bands and a contributor to WiMAX and long-term evolution standards in the PHY and MAC layers. He has authored or co-authored 3 chapter books, over 120 reviewed papers, and nearly 200 granted patents and patent applications that span a range of areas, including nanophysics and surface physics, semiconductor power devices, metamaterial, thermal physics, superconductor, optical and wireless communications, cognitive radio, game theory, radio-frequency and power electronics, battery charging, and wireless power transfer.
Philip V. Orlik (M’97–SM’12) was born in New York, NY, USA, in 1972. He received the B.E. and M.S. degrees and Ph.D. degree in electrical engineering from The State University of New York, Stony Brook University, Stony Brook, NY, USA, in 1994, 1997, and 1999, respectively. Since 2000, he has been with Mitsubishi Electric Research Laboratories, Cambridge, MA, USA, where he is currently the Manager of the Signal Processing Group. His current research interests include advanced wireless and wired communications, sensor/Internet of Things networks, vehicular/car-to-car communications, mobility modeling, performance analysis, and queuing theory. Arnaldo S. R. Oliveira (M’10) received the B.Sc. and M.Sc. degrees in electronics and telecommunications engineering and the Ph.D. degree in electrical engineering from the University of Aveiro, Aveiro, Portugal, in 1997, 2000, and 2007, respectively. Since 2001, he has been teaching computer architecture, digital systems design, programming languages, and embedded systems with the University of Aveiro, where he is currently a Senior Researcher with the Telecommunications Institute and an Assistant Professor. He has participated in several national- and European-funded research projects. He has authored or coauthored over 80 journal and international conference papers. His current research interests include reconfigurable digital systems, software-defined radio, and next-generation radio access networks. José Vieira received the B.S. degree in electrical engineering and M.Sc. degree in systems and automation from the University of Coimbra, Coimbra, Portugal, in 1988 and 1993, respectively, and the Ph.D. degree in electrical engineering from the University of Aveiro, Aveiro, Portugal, in 2000. Since 2000, he has been an Assistant Professor with the University of Aveiro. His current research interests include digital audio signal processing, ultrasonic location, compressed sensing, and software-defined radio. Dr. Vieira was the recipient of the Plug Award from APRITEL with the bioinspired cochlear radio in 2010. In 2004, he founded the AES Portuguese Section and was its President from 2005 to 2011.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A 0.4-to-4-GHz All-Digital RF Transmitter Package With a Band-Selecting Interposer Combining Three Wideband CMOS Transmitters Nai-Chung Kuo , Student Member, IEEE, Bonjern Yang , Student Member, IEEE, Angie Wang, Member, IEEE, Lingkai Kong, Member, IEEE, Charles Wu, Member, IEEE, Vason P. Srini, Elad Alon, Senior Member, IEEE, Borivoje Nikoli´c, Fellow, IEEE, and Ali M. Niknejad , Fellow, IEEE
Abstract— A single-output, band selecting interposer is designed to combine three identical all-digital CMOS transmitter (Tx) chips. The open-drain CMOS Txs are flip-chip connected to the primary windings of three frequency selecting transformers realized on a single PCB interposer. The secondary windings of the three transformers are connected in series and they share a single output. Across 0.4 to 4 GHz, a peak power higher than 22.9 dBm is achieved collectively by the three sub-Txs with a drain efficiency (DE) better than 25%. The peak powers/DE of the three sub-Txs are 28.6 dBm/50%, 27.4 dBm/49%, and 24.7 dBm/34%, at 0.85, 2.1, and 3 GHz, respectively. This paper further demonstrates that the band selection can be achieved via reconfiguring the CMOS inverse Class-D switching power amplifiers. As demonstrated via continuous wave and 64-quadratic-amplitude modulation, WLAN, and LTE modulation tests, the reconfigurable Tx package exhibits high power and efficiency across all supported bands. Index Terms— Carrier aggregation, CMOS power amplifier (PA), index terms, interposer, multiband, polar transmitter, RF-DAC, transformer.
I. I NTRODUCTION
W
ITH the proliferation of multiband and multistandard communications, wireless spectrum in the lower gigahertz regime has become increasingly crowded. While the second (GSM/EDGE) and the third generation (WCDMA) mobile communications rely only on a handful of radio bands, 4G-LTE requires support for more than 40 bands worldwide, covering spectrum from 450 to 3800 MHz [1], and 5G wireless will cover even more. Compared to the conventional solution, where transmitters (Tx) are customdesigned to support particular bands, an adaptive Tx module with wideband capability is conceptually simpler and less Manuscript received March 3, 2018; revised July 1, 2018; accepted July 7, 2018. This work was supported by the DARPA RF-FPGA Program under Grant HR0011-12-9-0013. (Corresponding author: Nai-Chung Kuo.) N.-C. Kuo, B. Yang, A. Wang, E. Alon, B. Nikoli´c, and A. M. Niknejad are with the Berkeley Wireless Research Center, University of California at Berkeley, Berkeley, CA 94720 USA (e-mail:
[email protected]). L. Kong is with Didi Chuxing, Mountain View, CA 94043 USA. C. Wu is with Keysight Technologies, Inc., Santa Clara, CA 95051 USA. V. P. Srini is with GM Cruise, San Francisco, CA 94103 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2860007
costly to design. Wideband Tx and other techniques, including wideband receivers [2], tunable duplexers [3], and Tx-to-Rx leakage cancellation [4] enable next-generation adaptive multiband transceivers, as illustrated in [5]. A multiband Tx or power amplifier (PA) is usually achieved by incorporating two or three band-dedicated designs on a single CMOS die [6]–[10]. If the frequency bands are widely separated, a diplexer/multiplexer can be used to combine them [6]–[9]. Typically, external passive multiplexers with high linearity and frequency selectivity are used, and the insertion loss is usually around 1 dB [11]. On the other hand, a band selecting single-pole double-throw (SPDT) (or SP3T) PA switch [12], [13] is usually required to provide isolation between the sub-Tx cells if the Txs collectively cover a wide and continuous bandwidth [10], [14]. According to [13], RF switches realized in SOI CMOS or III-V materials can achieve 1-dB insertion loss and 1-dB compression point (P1 dB ) greater than 1 W, while bulk CMOS switches have significantly lower power-handling capability due to junction diodes and substrate leakage [12]. Alternatively, Tx power across a wide bandwidth can be extracted from a single PA core operating in conjunction with a wideband impedance matching network (MNW). With this approach, a high-order MNW has to be employed [15]–[18] and some works have load impedance control at harmonic frequencies [17], [18]. The additional loss and the bandwidth limitation of the complicated and unreconfigurable MNW are the main drawbacks. With a fixed PA output MNW, a periphery reconfigurable PA core has been shown to enhance the bandwidth moderately [19]. Finally, several PAs adopted a fixed PA core and a reconfigurable MNW constructed with varactors [5] or switched capacitors [20], [21] to achieve an upper bound frequency roughly twice of the lower bound frequency. For the varactorbased designs, the bandwidth is ultimately limited by the varactor tuning range, which normally degrades under a large voltage swing. The switched-capacitor-based approach enables a reconfigurable matching capacitor aligning the MNW resonance frequency to the Tx operation frequency. This technique has been extensively used in LC-tank voltage-tuning oscillator
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
switches (solder connection added/removed manually). The reconfigurable Tx package also supports the modulated 64-quadratic-amplitude modulation (QAM), 20-MHz WLAN, and 20-MHz LTE signals. Both error vector magnitude (EVM) and mask specifications are satisfied. This paper is arranged as follows: Section II provides a brief review of the three all-digital CMOS Tx packages reported in [14]. Section III introduces the single-output, band selecting HDI PCB interposer design. Section IV proposes two methods operating the wideband Tx package with DTx reconfigurations. Measurement results are provided in Section V.
Fig. 1. Illustration of the single-band Tx packages reported in [14] and the single-output and wideband package proposed in this paper.
designs [22] and suffers the similar issue: for low-frequency operation, a large capacitor is required and the parasitic resistance of the inductor (or transformer) and the switch ON -resistance introduces higher loss to the network [23]. In addition, the inductor quality factor usually drops when operated at a low frequency, which further degrades the performance. Recently, three all-digital Tx designs have been demonstrated to collectively cover a frequency spectrum from 0.4 to 4 GHz with high power and efficiency [14]. The three designs adopt the same 65-nm CMOS Tx core with an opendrain inverse Class-D PA. The frequency selection is achieved via customized PA output matching transformers on three separated high-density interconnect (HDI) PCB interposers. This paper follows but advances [14] by combining the three Tx packages (LB/MB/HB) into a single-output interposer package via a new band selecting topology. Fig. 1 illustrates the development and differentiates this paper from [14]. In the proposed reconfigurable interposer, the secondary windings of three frequency selecting transformers are connected in series to share the same antenna output, and the wide RF bandwidth is achieved by rotating the three sub-Txs. The package achieves an output power higher than 22.9 dBm from 0.4 to 4 GHz with a drain efficiency (DE) higher than 25%. The peak powers are 28.6 dBm at 0.85 GHz (LB), 27.4 dBm at 2.2 GHz (MB), and 24.7 dBm at 3 GHz (HB), with DEs of 50%, 49%, and 34%, respectively. The high power and efficiency come from the use of inverse Class-D PA [6], [14], [16], [26] and offchip passives [7], [14], [24]. Compared to the collective output capability of the three Tx packages [14], the performance achieved by this reconfigurable interposer degrades by less than 0.9 dB at most operation frequencies. This paper first uses the band selecting switches to connect the primary windings of the two idle sub-Txs to ground, reducing the loading effect applied to the operating sub-Tx. Successively, this paper further demonstrates that the band selection can be carried out by reconfiguring the two idle switching PAs to provide low impedance to the interposer network (primary windings). The measured performance, using the digital transmitter (DTx) reconfiguration, degrades little compared to that achieved by using the almost-ideal band-switching
II. R EVIEW OF THE T HREE TX PACKAGES R EPORTED IN [14] The block diagram of the generic all-digital CMOS Tx chip used in [14] and this paper is illustrated in Fig. 2(a), and the die photograph is provided in Fig. 2(b). The polar transmitter has an 8-bit amplitude modulator and an 8-bit phase modulator. Amplitude modulation (AM) is achieved by linearly controlling the switch conductance of the inverse Class-D core. The minimum switch ON-resistance is 0.6 on each side. The phase modulation (PM) is achieved by digitally weighing the in-phase and quadrature bias currents in the IQ-mixer-based phase modulator. The CMOS dies are flipchip connected to the frequency selecting PCB transformer designs for high power and efficiency and compact packaging. The three transformers have 1:2 turns ratio and present a load impedance of ∼10 to the PA core to extract a ∼1-W output power with a 2.5-V supply. The photographs of the three Tx packages are shown in Fig. 3(a). The transformer models and extracted parameters are provided in Fig. 3(b), and the simulated and measured output powers are plotted in Fig. 3(c). Simulation curves obtained with transformer lumped models match those obtained with electromagnetic (EM)-simulated S-parameters. The measured peak powers are 29.2 dBm at 1.1 GHz (LB), 27.7 dBm at 2.3 GHz (MB), and 26 dBm at 3 GHz (HB), with DEs of 60%, 54%, and 49%, respectively. The three separate packages collectively achieve an output power >25.5 dBm and DE > 40 % from 0.7 to 3.5 GHz. III. D ESIGN OF THE BAND -S WITCHING I NTERPOSER A. Overview The photograph of the proposed single-output, band selecting Tx package is shown in Fig. 4(a), and the simplified schematic is illustrated in Fig. 4(b). The size of the interposer package is 2 × 2 × 0.05 cm3 . The six-layer HDI interposer uses minimum trace spacing and width of 50 μm, and the minimum layer thickness is 60 μm. The interposer disperses the signals/supplies of the CMOS ICs to a 1-mm-pitch ballgrid array (BGA) on the interposer backside to match the connections on the coarse-pitch PCB motherboard. Substantial design space is available on the interposer for high-quality SMD and PCB passives. The band switching scheme illustrated in Fig. 4(b) is as follows: when one of the three sub-Txs operates, the two switches on both sides of its primary winding are turned OFF, and the other four switches, associated with the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
Fig. 2.
3
(a) Block diagram of the all-digital Tx with on-chip amplitude and phase modulators. (b) Chip photograph [14, Fig. 23(a)].
Fig. 3. (a) Photographs of the three Tx packages [14]. (b) Extracted parameters of the individual PCB transformers. (c) Simulated and measured peak power of the three Tx packages.
Fig. 4.
(a) Photograph and (b) block diagram and schematic of the proposed single-output wideband Tx package.
two idle sub-Txs, are turned ON (short-circuited). The power supplies of the two idle sub-Txs, fed through the transformer center tap, are also grounded by SPDTs. The main design variables in this paper are the three external SMD tuning capacitors (C L , C M , and C H ) connected to
the secondary windings of the three transformers. In [14], these SMD capacitors are simply used to resonate with the secondary windings. However, their roles in this reconfigurable Tx package are more profound. The capacitors and interposer codecide the load impedance presented to the PA core
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
and the bandwidth of the operating sub-Tx. Therefore, the performances of the three sub-Txs are affected by all the three external capacitors. The three PCB transformers on the three packages in [14] have been optimized to cover different frequency bands and collectively cover a wide bandwidth. The transformers in this paper adopt the same dimensions as those in [14], so the extracted parameters are the same and are shown in Fig. 3(b). Completely redesigning the transformers could improve the overall performance, but the optimization would be complex. This is because each transformer needs to be optimized for the optimal loss/bandwidth for the associated Tx, and the transformer loading effect to the other operating Tx, when the associated Tx is turned OFF, also has to be considered. At a supply voltage of 2.5 V, the ideal output of an inverse Class-D switching PA is a half-sinusoidal waveform swinging between 0 and 7.5 V [14]. With the voltages at the drain nodes of the PA always taking a positive value, the band switching switches can have the drain-bulk junctions always reversely biased without the undesired junction leakage in typical bulkCMOS switches. In addition, the operating PA core sees a load impedance around 10 , stepped down by the transformer, so the “OFF” switches do not have to withstand the substantial peak-to-peak swing of 20 V present at the 50- antenna load. (Conventional PA switches are usually deployed at the antenna load [10].) As a result, the switch design can be simplified. However, the transformer primary windings of the two idle Txs are short-circuited by the band selecting switches, and the nonzero switch ON-resistance degrades the output power of the operating Tx because the switch ON-resistance is stepped up by the transformer and, presents a series resistance to the antenna load. The degradation is not significant with a low switch ON-resistance (i.e., 25 dBm). Using an excessively high C L of 8 pF results in a low output power at frequencies beyond 1 GHz. Because the maximum power contour travels to the lower side on the Smith chart as the frequency increases, using a smaller C L (e.g., 1 pF) that keeps S11 close to the origin is also not preferred. Fig. 8(a) and (b) plots the simulated LB output power and DE, respectively, for various C L candidates. The simulations are obtained from active device cosimulating with the simplified interposer lumped model and the more accurate interposer S-parameter extracted from EM simulation (ADS Momentum). The lumped model is sufficiently accurate. C. Operation of the MB Sub-Tx When the MB sub-Tx operates, the transformer center tap of the LB and HB sub-Txs are switched to ground by SPDT supply switches, and the primary windings of the LB and HB transformers are connected to ground as well. Fig. 9 shows a simplified schematic. The load presented to the MB transformer, denoted by Z load,MB, is a π-type LPF in series with an parasitic LC-tank (Z para,MB ). The π-type
f stop,MB determines the lower bound frequency of the MB sub-Tx. The magnitude of Z para,MB is relatively high at f stop,MB. Since the LB sub-Tx cannot output a high power at a high frequency such as f stop,MB , the output capability of the Tx package at the LB/MB intersection is limited. For the LB transformer, if L p1 C p < L s1 (C L + C M ) and ((1 − k 2M1 )L s1 /2(C L + C M ))1/2 < 50, [14, eq. (6)–(8)], the upper bound frequency of the LB sub-Tx, denoted by f upper,LB , can be approximated by 1 1 2 − . f upper,LB ≈ 2 2π L s1 (C L +C M ) 1−k M1 (C L +C M )2 (50)2 (2) The above-mentioned two inequalities for (2) to be valid hold with C P ∼ 1.8 pF, C L + C M 1 pF, and the LB transformer parameters listed in Fig. 3(b). At f upper,LB , the output conductance seen by the LB (open-drain) PA core is (k 2M1 L s )/(L p1 50), which is 0.04 S and deviates from the desired load conductance of ∼0.1 S. According to (1) and (2), the upper bound frequency of the LB sub-Tx is lower or in the √ best case not sufficiently higher than f stop,MB ( f upper,LB < 2 fstop,MB ), and the ratio f upper,LB / f stop,MB even goes lower with a low C L . Therefore, the power performance at the LB/MB intersection worsens when a small C L is used. Fortunately, it will be demonstrated in Section III-D that the LB/MB intersection can be covered better by the HB sub-Tx. Similar to Fig. 6, the power delivered to the MB transformer load is simulated for all the passive loads at the transformer output, and the load–pull results are plotted in Fig. 10, at 1.0, 1.6, 2.2, and 2.8 GHz. Fig. 10 indicates that a capacitive load is preferred for the MB transformer. Fig. 11 plots the S11 trajectories of MB transformer load impedance (Z load,MB)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Simulated output power for the MB sub-Tx with the interposer simplified lumped model.
Fig. 10. Simulated output power of the MB sub-Tx versus the transformer load impedance (Z load,MB ) in terms of S11 at (a) 1.0 GHz, (b) 1.6 GHz, (c) 2.2 GHz, and (d) 2.8 GHz.
Fig. 11. S11 of the transformer load impedance (Z load,MB ) versus frequency from 1.0 to 2.8 GHz with step size of 0.2 GHz.
from 1.0 to 2.8 GHz. Several combinations of the two external capacitors (C L , C M ) are explored. C H is fixed at 0.5 pF, which has only a minor effect on the trajectories. As mentioned in Section III-B, adopting a large C L (e.g., 8 pF) decreases f stop,MB , so the corresponding S11 trajectories in Fig. 11 has left the high-impedance region (e.g., Real(S11 ) > 0.6) at a lower frequency (e.g., 1 GHz). Although using an 8-pF C L achieves a higher f upper,LB/ fstop,MB ,
the LB/MB intersection still cannot be covered properly. With C L = 8 pF, the power simulation in Fig. 8(a) shows noticeable power degradation beyond 1 GHz, and Figs. 10(a) and 11 show that at 1 GHz the MB S11 trajectories with C L = 8 pF still correspond to a low output power. With a C L of 4 or 2 pF, the Tx output performance at the LB/MB even worsens. Merely considering the output power performance at the LB/MB intersection, a C L of 8 pF is preferred. Further increasing C L over 8 pF makes the LB sub-Tx very narrowband. Fig. 11 also shows that with the same C L , using a higher C M , such as 3 pF, decreases Imag(S11) and can extract a high power from the PA core, according to the load–pull information in Fig. 10. However, with a higher C M the S11 trajectories enter the low-power region (e.g., Real(S11 ) < −0.3) at a lower frequency so the MB upper bound frequency decreases. It appears that the MB upper bound frequency can be extended by using a small C L , since decreasing C L moves S11 toward the bottom/right-hand side (RHS) on the Smith chart. This is because when the MB sub-Tx operates at a higher frequency, the parasitic LC-tank from the LB transformer can be viewed as a series capacitor of C L on the signal path, and decreasing C L is equivalent to adding a series capacitor to compensate the inductive load. However, as mentioned and explained earlier, decreasing C L worsens the output power at the LB/MB intersection. The simulated output powers of the MB sub-Tx using the simplified lumped model are plotted in Fig. 12 with different (C L , C M ) sets and C H fixed at 0.5 pF. (The simulated results obtained with the interposer S-parameters are in the Appendix.) The occurrence of the low output power at f stop,MB , the better high-frequency performance with a smaller C L , and the power bandwidth tradeoff associated with the C M selection have all been explained. D. Operation of the HB Sub-Tx When the HB sub-Tx operates, the LB and MB sub-Txs are turned OFF and their primary windings are connected to ground. The simplified schematic for the HB sub-Tx is illustrated in Fig. 13. In this case, the HB transformer is loaded by a high-order LC-tank, and the equivalent parasitic
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
Fig. 13.
7
Simplified schematic of the HB sub-Tx.
component in series with the output antenna load can be modeled by L M L L CL j ω(L M + L L ) 1 − ω2 (L M +L L ) Z para,HB,lossless ≈ . (1−ω2 L M C M )(1−ω2 L L C L ) − ω2 C M L L (3)
Fig. 14. Simulated output power for the HB sub-Tx with the interposer lumped model.
The parasitic resistors are ignored for now. The two resonance frequencies of Z para,HB,lossless, denoted by f stop1,HB and f stop2,HB, can be approximated by (4) f stop1,HB ≈ 1/ 2π L s1 1 − k 2M1 (C L + C M ) (5) f stop2,HB ≈ 1/ 2π L s2 1 − k 2M2 (C L //C M ) where fstop1,HB is substantially lower than the HB operation frequency. Since f stop1,HB (4) < f stop,MB (1) < f stop2,HB (5), the HB sub-Tx can be operated at fstop,MB to cover the LB/MB intersection. Fig. 12 has shown that decreasing C M can extend the upper bound frequency of the MB sub-Tx; however, fstop2,HB also increases as C M goes lower and the output capability of the Tx package degrades at the MB/HB intersection. Since the LB sub-Tx is unable to cover the MB/HB intersection, a higher C M is preferred for a better power performance there. Finally, using a smaller C M extends the upper frequency of the HB sub-Tx. The simulated output powers of the HB sub-Tx are plotted in Fig. 14 using the simplified lumped model. C L is fixed at 2 pF as suggested by the discussions in Section III-C. The lumped model is accurate since the results are close to that obtained with the interposer S-parameter (provided in the Appendix). The dependences of f stop1,HB and f stop2,HB on C M are very obvious. Compared to using C H = 0 pF, using C H = 0.5 pF improves the in-band performance of the HB sub-Tx, but the output power degrades rapidly at the upper band edge. This effect has been seen in the MB sub-Tx, where increasing C M brings the similar tradeoff. E. Design Summary A collective consideration including the three sub-Txs is required when selecting (C L , C M , and C H ). In summary, using a small C M (e.g., 1 pF) helps in extending the maximum frequency of the MB and HB sub-Txs, but the performance at the MB/HB intersection suffers. For example, the simulated HB power in Fig. 14 shows that with a C M of 1 pF the HB subTx delivers low power before 3.2 GHz, while the MB Tx also
Fig. 15. Simulated Tx output power (with interposer S-parameter) with the three sub-Tx turned ON alternatively.
cannot cover up to 3.2 GHz according to Fig. 12. Nevertheless, using a small C M improves the high-frequency performance of the HB sub-Tx. A moderate C M is selected at 2 pF. On the other hand, the LB/MB intersection can be covered by the HB sub-Tx. Therefore, a small C L , such as 2 pF, can be adopted. The adoption of a small C L extends the upper frequency of the MB sub-Tx and improves the output power at the MB/HB intersection. The output power of the Tx package is simulated with the three sub-Txs turned ON alternately, and the results are plotted in Fig. 15 for a number of (C L and C M ) combinations. Similar to [14], C H is selected at 0 pF to improve the highfrequency performance of the HB sub-Tx at the cost of the HB peak power. The interposer S-parameter is used in this simulation. Fig. 15 demonstrates the explained performance dependences on the capacitor selection. The LB/MB intersection is observed to be covered partially by the HB sub-Tx when C L is selected at 2 pF, and the highest power at the MB/HB intersection is achieved with a low C L (2 pF) together with a high C M (2 pF). In the end, C L , C M , and C H are selected at 2, 2, and 0 pF, respectively. With the selected (C L , C M , and C H ) and the extracted transformer parameters
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 17. (a) Equivalent inductor series resistance (Req ). (b) Req versus switch ON -resistance. Fig. 16. Simulated Tx power (with interposer S-parameter) with nonideal band-switching switches.
listed in Fig. 3(b), f stop,MB (1), fupper,LB (2), f stop1,HB (4), and f stop2,HB (5) can be calculated as 1.60e9, 1.39e9, 1.13e9, and 2.78e9, respectively. Indeed, with the selected capacitors Fig. 15 shows that the HB sub-Tx exhibits relatively low output power at fstop1,HB and f stop2,HB, and the MB and LB output powers are low at f stop,MB and f upper,LB , respectively. IV. S WITCH PA R ECONFIGURED FOR BAND S WITCHING The above discussions assume the band switching switches are ideal with a zero ON-resistance and an infinite off-impedance. In practice, the nonzero switch ON-resistance and switch OFF-capacitance degrade the power performance. The package output power is simulated under several practical switch realizations in the same 65-nm bulk CMOS process, and the results are plotted in Fig. 16. The band switches are assumed to be realized by cascode thick-oxide devices. It is observed from Fig. 16 that if the external switch is large (e.g., w = 4 mm, RON ∼ 0.7 ) to achieve a low switch resistance, the switch OFF-capacitance degrades the HB performance. Also, the switches cannot be too small; otherwise, the primary windings associated with the two idle sub-Txs are not properly shorted. The switch ON-resistance is stepped up by the transformer and presents a series resistance in the transformer’s secondary winding. The equivalent series resistance of the idle transformers, denoted by Req , is shown in Fig. 17(a). When the LB Tx operates, both Req from the MB and HB transformers are in the power path and in series with the antenna load, while only the HB Req is in the power path when the MB Tx operates. Adopting the lumped-model parameters provided in Fig. 3(b), Fig. 17(b) plots the MB and HB Req when the LB sub-Tx operates at 1 GHz. Fig. 17(b) also plots the HB Req when the MB sub-Tx operates at 2 GHz. Even with a substantial ON-resistance of 1 , the power degradation due to the nonzero switch ON-resistance is minor because the additional series resistance to the 50- antenna load is only 5.2 when the LB-Tx operates and is 2.1 when the MB sub-Tx operates. In general, the LB performance is more vulnerable to the nonzero switch ON-resistance and the
Fig. 18. Simulated Tx power (with interposer S-parameter) with nonideal supply SPDT switches (with nonzero ON-resistance).
HB performance is more vulnerable to the nonzero switch OFF -capacitance. A scaled technology with lower parasitics can improve the performance. On the other hand, the SPDT supply switches at the center taps of the three transformer primary windings are necessary to connect the 2.5-V supplies of the two idle DTxs to ground. The nonzero switch ON-resistance at the inductor center tap of the operating Tx consumes dc power and can degrade both output power and efficiency. Fortunately, the switch parasitic capacitance is not an issue here because the SPDTs connect the transformer center taps to low impedance nodes, either supply or ground, so a large switch device (or multiple switch devices put in parallel) can be used to reduce the ON -resistance. The power degradation under nonzero switch ON -resistance is simulated and plotted in Fig. 18. The power degradation is about 0.5 dB with a 0.2- supply SPDT ON -resistance. The SMD SPDTs used in this paper have ON -resistance much lower than 0.1 , so the associated performance degradation is negligible. The switch-based inverse Class-D PAs are used to work in conjunction with this band switching interposer. The switch PA core of the two idle sub-Txs can be reconfigured to shortcircuit the associated transformer primary windings. As a result, no external switch is required and no extra loading effect (i.e., switch OFF-capacitance) is introduced to the operating sub-Tx. Although the PA output common-source devices in
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
9
Fig. 20. (a) EM-simulated power. (b) Efficiency of the two DTx reconfiguration schemes compared to that with ideal external switches.
Fig. 19. Schematic illustration with the switch PA reconfigured for the supported band switching scheme: DTx Reconfiguration 2.
this paper and [14] cannot be configured to turn ON simultaneously for the idle sub-Txs, denoted by DTx Reconfiguration 1, this static function is not difficult to implement. Alternatively, this CMOS design can turn-OFF the switch devices on both sides simultaneously. Such a configuration, denoted by DTx Reconfiguration 2, also presents to the transformer primary windings a low impedance. This is because the total device output (parasitic) capacitance for DTx Reconfiguration 2 is substantial, including the output routing capacitance, Cdb , Cdg , Csg , and Csb of the cascode devices, and Cdb , Cds , and Cdg of the common source devices. After the passive extraction, the parallel capacitance that loads the primary winding, denoted by C p,diff , is about 8 pF. The simplified schematics of the Tx package under LB, MB, and HB operation are plotted in Fig. 19 with the supported DTx Reconfiguration 2. The schematic corresponding to DTx Reconfiguration 1 can be
obtained by pulling the input of the common-source devices in the idle DTxs to 1 V. Using the interposer S-parameter, the simulated Tx power and DE achieved with ideal band switching switches, the supported switch PA reconfiguration (DTx Reconfiguration 2) and the recommended reconfiguration (DTx Reconfiguration 1), are plotted in Fig. 20(a) and (b), respectively. Owing to the low switch PA ON-resistance of only 0.6 , the results with DTx Reconfiguration 1 are very close to the ideal case. On the other hand, the supported DTx Reconfiguration 2 has its power and DE degraded from the ideal case, but the degradation is minor at most frequencies. With the primary windings of the two idle sub-Txs terminated by C p,diff , the equivalent inductor seen at the transformer secondary winding, denoted by L eq , becomes (6) L eq ≈ 1 − k 2M L s + k 2M L s /(1 − ω2 L p C p,diff ). L eq ≈ L s when the operation frequency is substantially lower than the resonance frequency f res = 1/[2π(L p C p,diff )0.5 ], L eq is extremely high at f res , and L eq ≈ (1 − k 2M )L s at a high frequency where ω2 L p C p,diff 1. For LB, MB, and HB transformers, the corresponding resonance frequencies of L eq
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 21. Simulated parasitic loading for the MB sub-Tx (Z para,MB ) with DTx Reconfigurations 1 and 2.
(L eq,LB , L eq,MB , and L eqHB ), denoted by f res,LB , f res,MB , and f res,HB , are estimated at 0.9, 1.3, and 1.8 GHz, respectively. When the LB sub-Tx operates, a high attenuation is expected to occur at operation frequency around f res,MB . When the MB sub-Tx operates, a high attenuation is expected to occur at f res,HB (1.8 GHz) which is below the MB operation frequency but still degrades the MB power on the lowfrequency end. With the presence of C p,diff , the original resonance frequency of the parasitic LC-tank loading the MB sub-Tx, at f stop,MB (1), splits into two frequencies, which can be roughly estimated by
fstop,MB,New1 ≈ f stop,MB × 1+x − 1+x 2 +x 4k 2M1 − 2 2 (7)
f stop,MB,New2 ≈ f stop,MB × 1+x + 1+x 2 +x 4k 2M1 −2 2 (8) where x = (L s1 C L )/(L p1 C p,diff ). Functions F1 (x, k M ) and F2 (x, k M ) are defined by the second term on the RHS of (7) and (8), respectively. fstop,MB,New1 and f stop,MB,New2 can be calculated as 0.7 and 2.0 GHz, respectively. Fig. 21 plots the simulated parasitic loading for the MB sub-Tx (Z para,MB ) with DTx Reconfigurations 1 and 2. The simplified lumped model is used. The simulated Z para,MB has a peak at 1.5 GHz with DTx Reconfiguration 1 and has peaks at 0.7 and 1.9 GHz with DTx Reconfiguration 2. The peak at 0.7 GHz is distant from the MB operation frequency, while the peak at 1.9 GHz does not introduce significant attenuation to the MB sub-Tx because it has a low magnitude. This is because the parasitic LC-tank has a low tank quality factor at the resonance frequency f stop,MB,New2. Finally, with the presence of a finite C p,diff , the original HB parasitic resonance frequency at f stop2,HB (5), calculated at 2.8e9, splits to fstop2,HB × F1 (x 2 , kM2 ) (∼1.1 GHz) and fstop2,HB × F2 (x 2 , k M2 ) (∼3.0 GHz), where x 2 = L s2 (C L //C M )/(L p2 C p,diff ). Indeed, it can be observed from Fig. 20(a) that the HB transmission zero around 2.5 GHz with DTx Reconfiguration 1 increases slightly with DTx Reconfiguration 2. On the other hand, the original HB parasitic resonance frequency at f stop1,HB (4), calculated at 1.1e9, splits
Fig. 22. Simulated parasitic loading for the HB sub-Tx (Z para,HB ) with DTx Reconfigurations 1 and 2.
to fstop1,HB × F1 (x 1 , k M1 ) (∼0.6 GHz) and f stop1,HB × F2 (x 1 , k M1 ) (∼1.7 GHz), where x 1 = L s1 (C L + C M )/(L p1 C p,diff ). Fig. 22 plots the simulated parasitic loading for the HB subTx (Z para,HB in Fig. 13). The simulated Z para,HB with DTx Reconfiguration 1 has peaks at 1.01 and 2.87 GHz and the simulated Z para,HB with DTx Reconfiguration 2 has peaks at 0.54, 1.05, 1.72, and 3.12 GHz. The peak at 1.72 GHz with DTx Reconfiguration 2 has a low magnitude and does not introduce noticeable attenuation to the HB sub-Tx. Similarly, this is because the parasitic LC-tank formed by (C L +C M ) and L eq_LB has a low tank quality factor at the resonance frequency f stop1,HB × F2 (x 1 , k M1 ). In summary, with DTx Reconfiguration 2, the HB sub-Tx degrades a little compared to DTx Reconfiguration 1. The output performance mainly degrades at the upper frequencies of the LB sub-Tx and the lower frequencies of the MB sub-Tx. In such a case, the HB sub-Tx plays a more important role in covering the LB/MB intersection. V. M EASURED R ESULTS As previously shown in Fig. 4(a), the interposer is about 2 × 2 cm2 , limited by the 1-mm-pitch grid array (BGA) on its backside. The package is used to interface with the PCB motherboard through a spring pin socket (Ironwood CBT-BGA 6001). First, the output power and DE of the Tx package are characterized with the band switching functionality carried out by almost ideal switches. Both sides of the primary windings of the three transformers on interposer can be connected or disconnected to the system ground plane on interposer via minimum footprint 0- resistors or removable solder paste. The measured package output power and DE for the three sub-Txs are plotted in Fig. 23. The simulated results previously shown in Fig. 20 are also attached. The Tx package achieves a peak power higher than 22.9 dBm from 0.4 to 4.0 GHz with DE better than 25%. The LB sub-Tx has a peak power of 28.6 dBm at 0.85 GHz with DE of 50%,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
Fig. 23. Measured (a) Tx package output power and (b) DE with almost-ideal external band-selecting switches (solder ON/ OFF).
and the MB sub-Tx has its peak power of 27.4 dBm at 2.1 GHz with DE of 49%. The HB sub-Tx covers the LB/MB intersection and reaches 26.4, 24.7, and 22.9 dBm at 1.5, 3.0, and 4.0 GHz, respectively, with DE of 46%, 34%, and 26%. Overall, the measured results are predicted well by the simulated results. The fast power rolloff at a frequency higher than 4 GHz could result from the parasitic introduced by the spring pin socket. In the following measurements with continuous wave (CW) and modulated waveforms, the external connection pins on interposer are kept open and the introduced DTx Reconfiguration 2 is put into service. When one sub-Tx operates, the 2.5-V PA supply voltages and the 1-V commonsource gate biases are short-circuited to ground for the two idle sub-Txs, while the 2.5-V gate biases for the cascode devices are still ON. The measured output power and DE are plotted in Fig. 24. As expected, the output power of the LB sub-Tx degrades noticeably when the frequency goes higher, while the MB and HB performances degrade little. The degraded bandwidth of the LB sub-Tx is partially compensated by the HB sub-Tx. The peak power/DE from the reconfigurable Tx package are 28.3 dBm/47% at 0.85 GHz (LB), 26.3 dBm/44% at 1.6 GHz (HB), 27.2 dBm/49% at 2.05 GHz (MB), 24.9 dBm/32% at 3.1 GHz (HB), and 23.6 dBm/25% at 3.8 GHz (HB).
11
Table I compares the measured CW performance of this wideband and reconfigurable Tx package to the recently reported CMOS Txs and PAs. More references on single-band PAs reported before 2015 can be found in [14]. Reported wideband Tx designs are also summarized in Table I. The achieved power, DE, and system efficiency (SE) with all the on-chip power consumption included are comparable to the state-of-the-art single-band solutions. This paper also features a single-output continuous bandwidth from 0.4 to 4 GHz and the all-digital input interface. One must be reminded that an insertion loss around 1 dB has to be accounted for when a diplexer is used in some reported works to create a dual-band Tx [6], [7], [9]. The reconfigurable Tx package has also been tested under modulated signals. To approximate the desired Tx output, the RF-DAC of the three sub-Txs, including the 8-bit amplitude modulator and the 8-bit phase modulator, must be characterized. For the three testing frequencies at 0.85 GHz (LB sub-Tx), 2.2 GHz (MB sub-Tx), and 3.1 GHz (HB sub-Tx), the output magnitude and phase of the amplitude modulators were measured with the amplitude modulator code swept from 0 to 255 while the phase modulator code fixed at 0, and the results are plotted in Fig. 25. Although the three CMOS designs are identical, the measured AM–AM and AM–PM responses shown in Fig. 25 appear to be different. This is because the load impedances seen by the three inverse Class-D cores, at different operation frequencies, are different. More details on the analysis of the characteristics of an inverse Class-D core can be found in [14, Sec. III]. To output a modulated signal, the AM–AM response is checked to approximate the desired Tx output magnitude, and the AM–PM distortion is corrected by the phase modulator. Although the AM–PM effect is not an issue here, it is noticed that the measured AM–PM effects are 27°, 27°, and 17° for the LB, MB, and HB operation, respectively. The AM–PM curves have the similar trend, but the phase shifts vary up to 60%. While the capacitance nonlinearity plays a role in the AM–PM effect, the inverse Class-D PA analysis in [25] shows that even if the switch devices do not have any parasitic capacitance, the AM–PM effect still exists if the PA load impedance is not purely resistive. The AM–PM phase shift for this DTx core is simulated to the load impedance (Z L = R L + jX L ) at 1 GHz. The results are plotted in Fig. 26 and the AM–PM phase shift is quite sensitive to Z L . The preferred load impedance of the DTx is around 8 + 7 j [14] and corresponds to a low phase shift around 10°. However, Z L is not constant across frequency and the three sub-Txs, which accounts for the phase shift deviation. The measured phase shifts in this paper are around 20°. A recently reported inverse Class-D PA makes the output capacitance of an ONstate cell close to that of an OFF-state cell [26] and achieves a good AM–PM phase shift from 7° to 14° with operation frequency from 2.5 to 4 GHz. The 8-bit phase modulators of the LB, MB, and HB subTxs are characterized at 0.85, 2.2, and 3.1 GHz, respectively, and the output phases are plotted in Fig. 27 with the phase modulator code swept from 0 to 255. The AM code was fixed at the peak value of 255. The phase modulator is based on
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I P EAK P ERFORMANCES OF M ULTIBAND AND R ECENTLY R EPORTED S INGLE -BAND CMOS D ESIGNS
Fig. 24.
Measured (a) Tx output power and (b) drain efficiency (DE) with DTx Reconfiguration 2.
a Gilbert-cell double-balanced IQ mixer and the design and operation have been detailed in [14, Sec. IV]. It is worth readdressing that the nonuniform phase steps shown in Fig. 27 are normal. This is because the two (differential) bias currents for the in-phase and the quadrature mixer are modulated, by the phase modulator code, such that the bias current for the in-phase mixer is 2I I + − 127IDAC with I I + ranged from 0 to 127IDAC , and the bias current for the quadrature mixer is either (128IDAC − |2I I + − 127IDAC |) or (|2I I + − 127IDAC | −128IDAC ), selected by the eighth PM bit. IDAC is the unitstep bias current (5.5 μA). The phase-modulated square wave
that drives the switch PA is generated by a CML-to-CMOS convertor following the phase modulator core. Two integrators are employed at the mixer in-phase and quadrature local oscillator (LO) inputs to transform the LO square waves to triangle waveforms with proper magnitude (i.e., Vpp ∼ 200 mV). The linearity of the phase modulator suffers significantly without the integrators. Substantial efforts in [14, Sec. IV] have been dedicated to explaining the necessity of the integrator and the proper integrator current in the wideband phase modulator. The integrator current should increase with the operation frequency.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
Fig. 25.
Normalized Tx output magnitude and phase versus AM code.
Fig. 26.
AM–PM phase shift versus PA load impedance.
The measured phase-modulator responses plotted in Fig. 27(a)–(c) are at 0.85, 2.2, and 3.1 GHz, respectively, and the integrator currents must be adjusted accordingly. The proper integrator currents, annotated in the figures, can limit the maximum phase step to 2.4°, which verifies the wideband capability of the phase modulator. Fig. 27(d) shows that a nonoptimal integrator current (i.e., 780 μA for the 0.85-GHz LB sub-Tx) strongly distorts the phase response and increases the maximum phase step. The measured AM and PM responses are both employed to approximate the required Tx output, and each operating frequency has its dedicated AM–AM, AM–PM, PM–PM and dc setup tables. For the RF frequency at 0.85 (LB), 2.2 (MB), and 3.1 GHz (HB), the measured demodulated constellations for a 62.5-MS/s and 64-QAM modulated signal are plotted in Fig. 28. The measured output power, DE and SE, and the constellation EVM are annotated. For example, the Tx package, operated at 2.2 GHz with the MB sub-Tx, achieves an output power of 22 dBm, DE of 28%, and EVM of −30 dB. This custom IQ modulation test verifies the wide RF bandwidth of the reconfigurable Tx package. By feeding in the proper AM and PM data streams into the Tx package with a total data rate of 2 × 2.5 Gb/s and Tx symbol rate of 250 MS/s, the Tx package can support the 20-MHz WLAN and LTE signals. Fig. 29 plots the Tx output spectrum when the MB sub-Tx is programed to output a 54-Mb/s, 20-MHz WLAN signal at 2.2 GHz. The output signal has a peak-to-average power ratio (PAPR) of 6.3 dB and is a clipped version of the original signal with PAPR of 8.7 dB.
13
Fig. 27. Phase responses at (a) 0.85, (b) 2.2, (c) 3.1, and (d) 0.85 GHz. The integrator current is set at an excessively high value for the fourth case.
Decreasing the signal PAPR increases the Tx output power and efficiency, but the signal integrity decreases. The simulated EVM and noise for the 20-MHz WLAN signal have been provided in [14, Sec. VI] to the signal compression in terms of PAPR. The Tx package achieves a good output (average) power of 19.9 dBm, and the DE and SE and are 23% and 19%, respectively. The demodulated 64-QAM constellation and pilot signals from the OFDM subcarriers is also attached in Fig. 29. The measured EVM is −31 dB, meeting the specification
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II R ECENTLY R EPORTED 20-MH Z WLAN CMOS T RANSMITTERS W ITH P OWER > 18 dBm
TABLE III R ECENTLY R EPORTED 10/20-MH Z LTE CMOS T RANSMITTERS W ITH P OWER > 20 dBm
Fig. 28. Measured 64-QAM constellation and Tx performance at the six testing frequencies. (a) 0.85 GHz. (b) 2.2 GHz. (c) 3.1 GHz.
of −25 dB with a substantial margin, and the spectrums also meet the required mask. The out-of-band noise is about −117 dBc/Hz at an 80-MHz offset. Finally, considering the LTE bands are allocated over a wide range of operating frequency [1], the Tx package is programed and reconfigured to output a 20-MHz, 64-QAM LTE signal at three frequencies: 0.85 GHz (LB sub-Tx), 2.2 GHz (MB sub-Tx), and 3.1 GHz (HB sub-Tx). The measured spectrum and demodulated constellations (from the 1200 subcarriers) are plotted in Fig. 30. The LTE signal, using the singlecarrier frequency-division multiple access techniques, can be operated with a lower PAPR compared to the WLAN signal without violating the ACLR and EVM specifications (EVM < −25 dB, ACLR1 < −30 dB, ACLR2 < −36 dB). With sufficient margin, the Tx package is programed to output a clipped LTE signal with a PAPR of 4.1 dB. Similarly, the
Fig. 29.
Measured WLAN spectrum at 2.2 GHz (MB Sub-Tx).
simulated EVM, noise, and ACLR1,2 for the 20-MHz LTE signal have been provided in [14, Sec. VI] to the signal PAPR. The measured average power, DE/SE, EVM, and ACLR performances are annotated in Fig. 30 for the three testing frequencies. At 2.2 GHz, the Tx package outputs an average power of 22.1 dBm with DE of 27% and SE of 23%, and the corresponding ACLR1,2 and EVM meet specification. The output noise is −115 dBc/Hz at an 80-MHz offset. Table II compares the 20-MHz WLAN performance achieved in this paper to recently reported Txs/PAs with output power higher than 18 dBm. More references on WLAN Txs can be found in [14]. Both the achieved power (19.9 dBm) and SE (19%) achieved by this paper are excellent and are only
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
Fig. 30.
Measured LTE spectrum and demodulated 64-QAM constellation at (a) 0.85, (b) 2.2, and (c) 3.1 GHz.
Fig. 31.
Simulated output power for the (a) MB sub-Tx and (b) HB sub-Tx with the interposer S-parameter.
Fig. 32.
Simulated load impedance seen by the operating PA core for (a) LB, (b) MB, and (c) HB operation.
slightly lower than that of the single-band Tx package [14]. Finally, the recently reported CMOS LTE Txs/PAs with output power higher than 20 dBm are summarized in Table III. Linear PA with envelope tracking has been the prevailing technique, with the highest efficiency, while the proposed reconfigurable Tx package is very wideband and provides a comparable power and efficiency level. VI. C ONCLUSION This paper presents a single-output band switching HDI interposer design that hosts three identical, generic, and
15
open-drain all-digital CMOS Tx chips through flip-chip connections. The excellent bandwidth is achieved by band selecting and rotating the three sub-Txs (LB/MB/HB) via the on-interposer passives. The Tx package has demonstrated that the functionality of the external band switching switches can be carried out by reconfiguring the switch PAs. The output power of the Tx package is higher than 22.9 dBm from 0.4 to 4 GHz with DE better than 25%. The reconfigurable and wideband Tx package also exhibits high power and efficiency for modulated signals, including 64-QAM, 20-MHz WLAN and LTE.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16
A PPENDIX The simulated power responses for MB and HB operations, based on the interposer lumped model and with different (C L , C M , and C H ) combinations, have been plotted in Figs. 12 and 14, respectively. The counterpart simulated results based on the interposer S-parameter are plotted in Fig. 31(a) and (b) for MB and HB operation, respectively. The simulated results are close to those obtained with the lumped model and exhibit similar dependence on the variables (C L , C M , and C H ). With the selected C L , C M , and C H of 2, 2, and 0 pF, respectively, the simulated load impedances seen by the operating LB, MB, and HB sub-Tx cores are plotted in Fig. 32(a)–(c), respectively. The operating frequencies are also annotated. The results are obtained with the interposer S-parameter and corresponding output powers have been plotted in Fig. 20(a). The PA load-impedance trajectories with DTx Reconfiguration 1 are very close to those achieved with ideal band selecting switches. The power extracted from the PA can be estimated by the PA load trajectories and the PA load–pull contours. Fig. 32(a) shows that as the operation frequency increases with the LB sub-Tx (e.g., to 1.5 GHz), the load trajectory associated with DTx Reconfiguration 2 leaves the preferred load impedance (i.e., 8 + 7 j ) and travels to the highreactance region at a lower load resistance, compared to the other two curves. This characteristic corresponds to a more significant power rolloff in the LB sub-Tx upper frequencies. The load impedances seen by the MB sub-Tx core, plotted in Fig. 32(b), is only close to the desired load impedance for a limited range of operating frequency around 2.5 GHz. Finally, the low-power frequency regions of the HB sub-Tx, around 2.6 GHz according to Fig. 20(a), are due to the parasitic resonance. With the HB transformer included, Fig. 32(c) shows the load trajectories still exhibit abrupt reactance change around 2.6 GHz, and the extracted power from the PA core degrades there. ACKNOWLEDGMENT The authors would like to thank the TSMC University Shuttle Program for the chip fabrication. R EFERENCES [1] LTE Frequency Bands. Accessed: Aug. 1, 2018. [Online]. Available: https://en.wikipedia.org/wiki/ LTE_frequency_bands [2] X. Liu et al., “A 65 nm CMOS wideband radio receiver with -based A/D-converting channel-select filters,” IEEE J. Solid-state Circuits, vol. 51, no. 7, pp. 1566–1578, Jul. 2016. [3] A. Goel, B. Analui, and H. Hashemi, “Tunable duplexer with passive feed-forward cancellation to improve the RX-TX isolation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 2, pp. 536–544, Feb. 2015. [4] L. Calderin, S. Ramakrishnan, A. Puglielli, E. Alon, B. Nikoli´c, and A. M. Niknejad, “Analysis and design of integrated active cancellation transceiver for frequency division duplex systems,” IEEE J. Solid-State Circuits, vol. 52, no. 8, pp. 2038–2054, Aug. 2017. [5] W. C. E. Neo et al., “Adaptive multi-band multi-mode power amplifier using integrated varactor-based tunable matching networks,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2166–2176, Sep. 2006. [6] Z. Deng et al., “A dual-band digital-WiFi 802.11a/b/g/n transmitter SoC with digital I/Q combining and diamond profile mapping for compact die area and improved efficiency in 40 nm CMOS,” in IEEE ISSCC Tech. Dig. Papers, Jan./Feb. 2016, pp. 172–173. [7] J. Ko et al., “A high-efficiency multiband class-F power amplifier in 0.153μm bulk CMOS for WCDMA/LTE applications,” in IEEE ISSCC Tech. Dig. Papers, Feb. 2017, pp. 40–41, doi: 10.1109/ISSCC.2017.7870250.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[8] W. Kim, K. S. Yang, J. Han, J. J. Chang, and C. H. Lee, “An EDGE/GSM quad-band CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 49, no. 10, pp. 2141–2149, Oct. 2014. [9] S. T. Yan et al., “An 802.11a/b/g/n/ac WLAN transceiver for 2×2 MIMO and simultaneous dual-band operation with +29 dBm Psat integrated power amplifiers,” IEEE J. Solid-State Circuits, vol. 52, no. 7, pp. 1798– 1813, Jul. 2017, doi: 10.1109/JSSC.2017.2704595. [10] H. Wang and H. Hashemi, “A 0.5–6 GHz 25.6 dBm fully integrated digital power amplifier in 65-nm CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2014, pp. 409–412. [11] TDK Corporation, Tokyo, Japan. (Sep. 2016). Multilayer Diplexer, DPX105950DT-6012A1 Datasheet. Accessed: Aug. 1, 2018. [Online]. Available: https://product.tdk.com/info/en/documents/data_sheet/rf_dpx_ dpx105950dt-6012a1_en.pdf [12] Y. Jin and C. Nguyen, “Ultra-compact high-linearity high-power fully integrated DC–20-GHz 0.18-μm CMOS T/R switch,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 1, pp. 30–36, Jan. 2007. [13] (2016). Product Brief: General Purpose RF Switches. [Online]. Available: http://www.skyworksinc.com/uploads/documents/PB_ RFSwitches_PB121_15B.pdf [14] N.-C. Kuo et al., “A wideband all-digital CMOS RF transmitter on HDI interposers with high power and efficiency,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4724–4743, Nov. 2017. [15] H. Wang, C. Sideris, and A. Hajimiri, “A CMOS broadband power amplifier with a transformer-based high-order output matching network,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2709–2722, Dec. 2010. [16] J. S. Park, S. Hu, Y. Wang, and H. Wang, “A highly linear dualband mixed-mode polar power amplifier in CMOS with an ultracompact output network,” IEEE J. Solid-State Circuits, vol. 51, no. 8, pp. 1756–1770, Aug. 2016. [17] W. Ye, K. Ma, and K. S. Yeo, “A 2-to-6GHz class-AB power amplifier with 28.4% PAE in 65nm CMOS supporting 256QAM,” in IEEE ISSCC Tech. Dig. Papers, Feb. 2015, pp. 38–39, doi: 10.1109/ISSCC.2015.7062914. [18] J.-K. Nai, Y.-H. Hsiao, Y.-S. Wang, Y.-H. Lin, and H. Wang, “A 2.8–6 GHz high-efficiency CMOS power amplifier with high-order harmonic matching network,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–3. [19] B. Kim, D.-H. Lee, S. Hong, and M. Park, “A multi-band CMOS power amplifier using reconfigurable adaptive power cell technique,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 8, pp. 616–618, Aug. 2016. [20] G. Lee, J. Jung, and J. I. Song, “A multiband power amplifier with a reconfigurable output-matching network for 10-MHz BW LTE mobile phone applications,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 62, no. 6, pp. 558–562, Jun. 2015. [21] K. Han and X. Luo, “A 9.5–18.5 GHz power amplifier for multiband microwave point-to-point backhaul communication,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2014, pp. 339–342. [22] X. Yi, C. C. Boon, H. Liu, J. F. Lin, and W. M. Lim, “A 57.9-to-68.3 GHz 24.6 mW frequency synthesizer with in-phase injection-coupled QVCO in 65 nm CMOS technology,” IEEE J. SolidState Circuits, vol. 49, no. 2, pp. 347–359, Feb. 2014. [23] A. M. Niknejad, Electromagnetics for High-Speed Analog and Digital Communication Circuits. Cambridge, U.K.: Cambridge Univ. Press, 2007. [24] H. Qian, Q. Liu, J. Silva-Martinez, and S. Hoyos, “A 35 dBm output power and 38 dB linear gain PA with 44.9% peak PAE at 1.9 GHz in 40 nm CMOS,” IEEE J. Solid-State Circuits, vol. 51, no. 3, pp. 587–596, Mar. 2016. [25] D. Chowdhury, S. V. Thyagarajan, L. Ye, E. Alon, and A. M. Niknejad, “A fully-integrated efficient CMOS inverse class-D power amplifier for digital polar transmitters,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1113–1122, May 2012. [26] J. S. Park, Y. Wang, S. Pellerano, C. Hull, and H. Wang, “A CMOS wideband current-mode digital polar power amplifier with built-in AM–PM distortion self-compensation,” IEEE J. Solid-State Circuits, vol. 53, no. 2, pp. 340–356, Feb. 2018. [27] P. Oßmann et al., “Design of a fully integrated two-stage watt-level power amplifier using 28-nm CMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 1, pp. 188–199, Jan. 2016. [28] W. Yuan and J. S. Walling, “A multiphase switched capacitor power amplifier in 130 nm CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2016, pp. 210–213. [29] R. Bhat, J. Zhou, and H. Krishnaswamy, “A >1 W 2.2 GHz switchedcapacitor digital power amplifier with wideband mixed-domain multi-tap FIR filtering of OOB noise floor,” in IEEE ISSCC Tech. Dig. Papers, Feb. 2017, pp. 234–235.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KUO et al.: 0.4-TO-4-GHz ALL-DIGITAL RF TRANSMITTER PACKAGE WITH BAND-SELECTING INTERPOSER
[30] A. Passamani, D. Ponton, E. Thaller, G. Knoblinger, A. Neviani, and A. Bevilacqua, “A 1.1 V 28.6 dBm fully integrated digital power amplifier for mobile and wireless applications in 28 nm CMOS technology with 35% PAE,” in IEEE ISSCC Tech. Dig. Papers, Feb. 2017, pp. 232–233. [31] V. Vorapipat, C. S. Levy, and P. Asbeck, “A class-G voltage-mode Doherty power amplifier,” in IEEE ISSCC Tech. Dig. Papers, Feb. 2017, pp. 46–47. [32] K. Cho and R. Gharpurey, “A 25.6 dBm wireless transmitter using RF-PWM with carrier switching in 130-nm CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2015, pp. 139–142. [33] H. Ahn, S. Baek, H. Ryu, I. Nam, and O. Lee, “A highly efficient WLAN CMOS PA with two-winding and single-winding combined transformer,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2016, pp. 310–313. [34] E. Kaymaksut and P. Reynaert, “Dual-mode CMOS Doherty LTE power amplifier with symmetric hybrid transformer,” IEEE J. Solid-State Circuits, vol. 50, no. 9, pp. 1974–1987, Sep. 2015. [35] B. Park et al., “High-performance CMOS power amplifier with improved envelope tracking supply modulator,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 798–809, Mar. 2016. [36] X. Liu et al., “A 2.4V 23.9dBm 35.7%-PAE -32.1dBc-ACLR LTE-20MHz envelope-shaping-and-tracking system with a multiloopcontrolled AC-coupling supply modulator and a mode-switching PA,” in IEEE ISSCC Tech. Dig. Papers, Feb. 2017, pp. 38–39, doi: 10.1109/ISSCC.2017.7870249. Nai-Chung Kuo (S’09) received the B.S. degree in electrical engineering (minor in philosophy) and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2009 and 2011, respectively. He is currently pursuing the Ph.D. degree in electrical engineering at the University of California at Berkeley, Berkeley, CA, USA. His current research interests include the design of microwave and RF circuits and systems and wireless power transfer. Mr. Kuo was a recipient of the IEEE SSCS Predoctoral Achievement Award, the IEEE T-CAS Darlington Best Paper Award in 2017, the IEEE MTT-S Graduate Fellowship Award in 2016, the IET Premium Award in 2015, the NTU Presidential Awards from 2005 to 2007, and the Silver Medal of the 2005 Asian Pacific Mathematics Olympiad. He serves as an Invited Reviewer for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , the IEEE J OURNAL OF S OLID -S TATE C IRCUITS , the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —I: R EGULAR PAPERS , IEEE T RANSAC TIONS ON C IRCUITS AND S YSTEMS —II: B RIEFS , IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS , the IEEE J OURNAL OF R ADIO F RE QUENCY I DENTIFICATION , the IEEE T RANSACTIONS ON V ERY L ARGE S CALE (VLSI) I NTEGRATION, and IET Electronics Letters. Bonjern Yang (S’12) received the B.S. degree in electrical engineering and computer sciences from the University of California at Berkeley, Berkeley, CA, USA, where he is currently pursuing the Ph.D. degree in electrical engineering. His current research interests include RF power amplifiers, reconfigurable RF front ends, and the design and layout automation of analog and mixedsignal circuits. Angie Wang (S’11–GS’12–M’18) received the B.S. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 2012, and the Ph.D. degree from the University of California at Berkeley (UC Berkeley), Berkeley, CA, USA, under the supervision of Prof. B. Nikoli´c. She is currently with the Berkeley Wireless Research Center and ADEPT Laboratories, UC Berkeley. She was supported under the National Science Foundation’s Graduate Research Fellowship. Her current research interests include the design of ASIC and FPGA hardware generators and design automation tools to ease the implementation of VLSI signal processing systems, with applications in sensor interfaces, software-defined radio, and beyond.
17
Lingkai Kong (S’07–M’12) received the B.S. degree in mathematics and physics from Tsinghua University, Beijing, China, in 2007, and the Ph.D. degree in electrical engineering from the University of California at Berkeley, Berkeley, CA, USA, in 2012. He held various internship positions with the Inphi Corporation, Rambus Inc., and Xilinx Inc., where he was involved in various projects including laser driver, millimeter-wave front end, and high-speed link designs. His current research interests include design and optimization of energy-efficient integrated systems, as well as design automation for large mixed-signal systems. Dr. Kong was a recipient of the 2012–2013 SSCS Predoctoral Achievement Award, the 2012 James H. Eaton Memorial Scholarship, the 2011 Analog Devices Outstanding Designer Award, and was a co-recipient of the Best Student Paper Award of the 2011 Symposium on VLSI Circuits. Charles Wu (S’09–M’14) received the B.S. and Ph.D. degrees from the University of California at Berkeley, Berkeley, CA, USA, in 2007 and 2014, respectively. From 2007 to 2009, he was an Analog Designer with the Broadcom Corporation, where he was involved in the designing of analog front-ends modules and continuous-time sigma–delta ADCs for DSL cable modems and audio CODEX. In 2010, he joined the Agilent Corporation, as an Engineering Intern, where he was involved in ground-breaking techniques for high-speed ADC sampling front ends. From 2011 to 2013, he was an Intern with the Intel Corporation, where he was involved in the designing of next-generation receiver architecture intended for LTE-Advanced. His current research interests include wide dynamic-range receiver design for software-defined radio application and high-speed ADC design techniques. Dr. Wu was a recipient of the Best Young Scientist Paper Award of the European Solid-State Circuits Conference in 2013.
Vason P. Srini received the B. E degree in electrical engineering from the University of Madras, Chennai, India, in 1969, the M. S degree in electrical engineering from Tennessee Technological University, Cookeville, TN, USA, in 1971, and the Ph.D. degree in computer science from the University of Louisiana at Lafayette, Lafayette, LA, USA, in 1980. He was with Data Flux Systems Inc., Berkeley, CA, USA, where he was involved in parallel processor chips, integration of radars, cameras, ladars, and GPS/IMU for autonomous ground vehicle navigation, autonomous landing of helicopters in unstructured environments, and wireless communication between vehicles. From 2011 to 2016, he was with the Nokia Research Center, Berkeley, CA, USA, where he was involved in reconfigurable transceiver research, 94-GHz radar development, integrated radars and cameras, and massively parallel embedded processors. He was a Visiting Professor of computer science with Yonsei University, Seoul, South Korea, where he was involved in smartphone video, graphics, and image processing parallel processors. He was with KAIST/ICU, Daejeon, South Korea, where he was involved in mobile computing and autonomous vehicles. He was one of the team leaders of the Berkeley–Sydney Driving Team for the DARPA Urban Challenge and Team Cyberrider for the DARPA Grand Challenge. He was one of the leaders of the Aquarius Project with the University of California at Berkeley (UC Berkeley), Berkeley, CA, USA, where he developed singlechip solutions for processing Prolog to support inference in AI applications. He was involved in dynamically reconfigurable computing systems to support missile defense applications. He developed dataflow processors, compilers, and signal processing applications. He was also involved in Cray-XMP and Cray-YMP. He also held faculty positions with UC Berkeley and the University of Alabama, Tuscaloosa, AL, USA. He is currently with GM Cruise, San Francisco, CA, USA, where he is involved in perception and tracking for autonomous vehicles. His current interests include autonomous ground vehicle perception and tracking with integrated radar, ladar, and VisNIR cameras, support for LTE Advanced FDD/TDD transceivers, autonomous aerial systems, and securitized processors. Dr. Srini was an Editor of the IEEE T RANSACTIONS ON C OMPUTERS .
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 18
Elad Alon (S’02–M’06–SM’12) received the B.S., M.S., and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, USA, in 2001, 2002, and 2006, respectively. In 2007, he joined the University of California at Berkeley, Berkeley, CA, USA, where he is currently a Professor of electrical engineering and computer sciences and the Co-Director of the Berkeley Wireless Research Center. He has held founding, consulting, visiting, or advisory positions with Dragonfly Technology, Lion Semiconductor, Wilocity, Cadence, Xilinx, Oracle, Intel, AMD, Rambus, Hewlett-Packard, and IBM Research, where he was involved in digital, analog, and mixedsignal integrated circuits for computing, test and measurement, and highspeed communications. His current research interests include energy-efficient integrated systems, including the circuit, device, communications, and optimization techniques used to design them. Dr. Alon was a recipient of the IBM Faculty Award in 2008, the 2009 Hellman Family Faculty Fund Award, the 2010 and 2017 University of California at Berkeley Electrical Engineering Outstanding Teaching Award, the 2010 ISSCC Jack Paper Award for Outstanding Technology Directions Paper, the 2011 Symposium on VLSI Circuits Best Student Paper Award, and the 2012 as well as the 2012 and 2013 Custom Integrated Circuits Conference Best Student Paper Award. Borivoje Nikoli´c (S’93–M’99–SM’05–F’17) received the Dipl.Ing. and M.Sc. degrees in electrical engineering from the University of Belgrade, Belgrade, Serbia, in 1992 and 1994, respectively, and the Ph.D. degree from the University of California at Davis, Davis, CA, USA, in 1999. In 1999, he joined the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, CA, USA, where he is currently a National Semiconductor Distinguished Professor of Engineering. He co-authored Digital Integrated Circuits: A Design Perspective (Prentice-Hall, 2nd ed, 2003). His current research interests include digital, analog, and RF integrated circuit design and VLSI implementation of communications and signal processing systems. Dr. Nikoli´c was a Distinguished Lecturer of the IEEE Solid-State Circuits Society from 2014 to 2015. He was a recipient of the NSF CAREER Award in 2003, the College of Engineering Best Doctoral Dissertation Prize, and the Anil K. Jain Prize for the Best Doctoral Dissertation in Electrical and Computer Engineering at University of California at Davis in 1999, as well as the City of Belgrade Award for the Best Diploma Thesis in 1992. He was also a recipient of several Best Paper Awards of the IEEE International Solid-State Circuits Conference, the Symposium on VLSI Circuits, the IEEE International SOI Conference, the European Solid-State Device Research Conference, the European Solid-State Circuits Conference, the S3S Conference, and the ACM/IEEE International Symposium of Low-Power Electronics for his work with his students and colleagues.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Ali M. Niknejad (S’93–M’00–SM’10–F’13) received the B.S.E.E. degree from the University of California at Los Angeles, Los Angeles, CA, USA, in 1994, and the master’s and Ph.D. degrees in electrical engineering from the University of California at Berkeley (UC Berkeley), Berkeley, CA, USA, in 1997 and 2000, respectively. He is currently a Professor with the Electrical Engineering and Computer Science Department, UC Berkeley, and the Faculty Director of the Berkeley Wireless Research Center. He is a co-founder of HMicro and an inventor of REACH technology, which have the potential to deliver robust wireless solutions to the healthcare industry, and co-founder of RF Pixels, a 5G technology startup. His current research interests include wireless and broadband communications and biomedical imaging and sensors, integrated circuit technology (analog, RF, mixed-signal, and millimeter-wave), device physics and compact modeling, and applied electromagnetics. Dr. Niknejad was a recipient of the 2017 IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS Darlington Best Paper Award, the 2017 Most Frequently Cited Paper Award from 2010 to 2016 of the Symposium on Very Large-Scale Integration Circuits, the CICC 2015 Best Invited Paper Award, and the 2012 ASEE Frederick Emmons Terman Award for his textbook on electromagnetics and RF integrated circuits. He was a co-recipient of the 2013 Jack Kilby Award for Outstanding Student Paper for his work on an efficient quadrature digital spatial modulator at 60 GHz, the 2010 Jack Kilby Award for Outstanding Student Paper for his work on a 90-GHz pulser with 30 GHz of bandwidth for medical imaging, and the Outstanding Technology Directions Paper of ISSCC 2004 for co-developing a modeling approach for devices up to 65 GHz.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Extraction of the Third-Order 3 × 3 MIMO Volterra Kernel Outputs Using Multitone Signals Zain Ahmed Khan , Student Member, IEEE, Efrain Zenteno , Member, IEEE, Peter Händel , Senior Member, IEEE, and Magnus Isaksson, Senior Member, IEEE
Abstract— This paper uses multitone signals to simplify the analysis of 3×3 multiple-input multiple-output (MIMO) Volterra systems by isolating the third-order kernel outputs from each other. Multitone signals fed to an MIMO Volterra system yield a spectrum that is a permutation of the sums of the input signal tones. This a priori knowledge is used to design multitone signals such that the third-order kernel outputs are isolated in the frequency domain. The signals are designed by deriving the conditions for the offset and spacing of the input frequency grids. The proposed technique is then validated for the six possible configurations of a 3 × 3 RF MIMO transmitter impaired by crosstalk effects. The proposed multitone signal design is used to extract the third-order kernel outputs, and their relative contributions are analyzed to determine the dominant crosstalk effects for each configuration. Index Terms— Crosstalk, hardware impairments, multipleinput multiple-output (MIMO), multitone signals, Volterra kernels.
I. I NTRODUCTION
T
HE Volterra theory has been developed over the years for the study of single-input single-output (SISO) dynamic nonlinear systems with fading memory [1]–[4]. Therefore, it is being utilized in a wide range of applications such as mechanics, communications, and biology, among others. Thus, extraction and identification of the Volterra kernels have been a topic of particular interest for the research community. Recent advances in system theory have yielded an increase in the interest for multiple-input multiple-output (MIMO) dynamic nonlinear systems. Therefore, the MIMO Volterra series has been developed over the years [5]–[7]. Compared to SISO Volterra kernels, two types of kernels have been indicated for MIMO systems in [5], namely, the self-kernels, which are the same as the SISO Volterra kernels, and the additional crosskernels, which arise from nonlinear interactions of different inputs of the MIMO system. Furthermore, the symmetry properties of the self-kernels are same as
Manuscript received February 19, 2018; revised May 18, 2018; accepted June 30, 2018. (Corresponding author: Zain Ahmed Khan.) Z. A. Khan and P. Händel are with the Department of Information Science and Engineering, KTH Royal Institute of Technology, 11428 Stockholm, Sweden (e-mail:
[email protected]). E. Zenteno is with the Department of Electronics and Telecommunications, Universidad Catolica San Pablo, Arequipa 04001, Peru. M. Isaksson is with the Department of Electronics, Mathematics, and Natural Sciences, Gävle University College, 80176 Gävle, Sweden. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2854186
the SISO Volterra kernels. but the crosskernels cannot be symmetrized in the same way [5]. Due to these intrinsic differences, identification of MIMO Volterra kernels is more challenging than SISO Volterra kernels. Hence, this paper presents a method that uses multitone signals to extract the third-order MIMO Volterra kernel outputs validated for a 3 × 3 RF MIMO transmitter. The contribution is valuable for both academia and practitioners with respect to simplifying the analysis of MIMO Volterra systems. The extracted kernel outputs can be used by system identification researchers working with dynamic nonlinear MIMO systems, by computational modelers investigating low-complexity solutions within the Volterra framework, and by RF engineers for an accurate analysis of predominant crosstalk effects prevailing in RF MIMO transmitters. Characterization techniques for the analysis of SISO Volterra kernels have been proposed in both time [3], [8] and frequency domains [9]–[15]. Volterra kernels can be analyzed with much more simplicity of interpretation in the frequency domain than time domain [9], [10]. Therefore, two-tone and three-tone tests have been proposed, where the frequency of tones is swept for the measurement and analysis of SISO nonlinear systems [16]–[21]. A combination of two-tone and three-tone tests for the identification of MIMO Volterra kernels have been proposed in [22]. However, two-tone tests do not excite the complete Volterra kernels, whereas three-tone tests require a large number of measurements. Therefore, signals that contain the same characteristics but with a larger number of harmonics, referred to as multitone signals, have been proposed [9]. Excitation of a Volterra system with multitone signals yields a frequency grid at the output which consists of permutations of sums of the input signal tones. This a priori information is used to measure the SISO Volterra kernels in [9]–[11], and [12]. Furthermore, a multitone signal design for a SISO nonlinear model testing has also been presented in [14]. In contrast to SISO, it can be noted that the multiple signals of an MIMO system provide an extra degree of freedom to design input signals that fulfill the desired requirements regarding intermodulation and crossmodulation products, amplitude-to-amplitude or amplitude-to-phase distortions, spectral leakage, and so on [23]. Furthermore, any J ×K MIMO Volterra system can be analyzed as a decomposition of K MISO systems, each with J inputs [5]. Therefore, the number of inputs determines the creation of distinct kernels,
0018-9480 © 2018 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. Frequency isolation of the third-order kernel outputs for a 3 × 3 MIMO Volterra system excited by multitone signals. Only the output at channel 2 is shown as an example.
whereas the number of outputs indicates the number of times these kernels need to be considered (a set of kernels is defined for each output). These features are used to design multitone signals with differing tone spacings to isolate third-order kernel outputs for a 2 × 2 MIMO system in [24]. This paper extends the method presented in [24] for the extraction of the third-order 3 × 3 MIMO Volterra kernel outputs as shown in Fig. 1. The signal design procedure for the frequency spacing and offset is also described, extendable for any J × K MIMO system. Furthermore, the proposed method allows the use of multitone signals that resemble real operating conditions. Since the multitone design is dependent only on its tone position, the amplitudes and phases can, for instance, be made user selectable, to match the desired pdf characteristics [14]. The proposed method is validated for a 3 × 3 RF MIMO transmitter impaired by additional crosstalk effects that are not observable in RF SISO transmitters. Crosstalk arises from the RF leakage between different transmission paths in an MIMO transmitter being implemented on the same chipset [25]–[29]. RF leakage that occurs prior to the amplification stage is called input crosstalk, whereas RF leakage that occurs after the amplification stage is called output crosstalk [30]–[33]. A 3 × 3 MIMO transmitter is considered in this paper to analyze the crosstalk arising from multiple channels which are not present in a 2 × 2 MIMO transmitter. These additional impairments yield new scenarios for the MIMO transmitter that have not yet been analyzed, to the authors best knowledge. Thus, for a 3 × 3 RF MIMO transmitter with crosstalk reciprocity, there are a total of six possible configurations based on the permutations of the presence (or absence) of input and output crosstalk, as shown in Fig. 2. Furthermore, crosstalk in an MIMO transmitter decays with the distance between the channels [34], yielding strong contributions from only the adjacent channels (as noted in the experimental validation of this paper). Therefore, MIMO systems with greater than three inputs (4 × 4 or higher order MIMO systems) can be studied with three inputs as subblocks of the reconsidered 3×3 MIMO systems.
This paper uses the proposed method to determine the relative contributions of the kernel outputs from their respective power spectral densities (PSDs) for each of the six configurations of a 3 × 3 RF MIMO transmitter (cf., Fig. 2). Crosstalk arising from the adjacent channels yields strong contributions, whereas nonadjacent channel crosstalk yields weak contributions close to the noise floor. Therefore, the relative contributions can then be used to identify the corresponding crosstalk configurations prevailing in the transmitter. The paper is organized as follows. Section II describes the theoretical framework used in this paper. Section III presents the multitone signal design for extracting the corresponding third-order kernel outputs. An experimental investigation is performed for each of the six possible crosstalk configurations in Section IV. Finally, Sections V and VI describe discussions and conclusions, respectively. II. T HEORETICAL F RAMEWORK A. MIMO Volterra Systems This paper studies an MIMO Volterra system with three inputs and three outputs (referred to as a 3×3 MIMO system). The system is analyzed for discrete time complex-valued baseband signals. The input and output signals are denoted as u k (n) and yk (n), respectively, where k = 1, 2, 3 is the signal index; and n = 1, 2, . . . , N denotes the sample instant. To simplify the analysis, synchronous sampling is assumed. Since this paper studies nonlinear effects of the 3×3 MIMO Volterra system up to the third order nonlinearities, the kth output can be described using the additive properties of the MIMO Volterra system as in [28] (1)
(3)
yk (n) = yk (n) + yk (n).
(1)
Note that only odd-order outputs are considered for complex-valued baseband signals [35]. The first term in (1) is the linear output of the MIMO system expressed as a linear (1;3) combination of three FIR filters whose coefficients h k;k (m) 1
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
3
are the linear MIMO Volterra kernels. That is, yk(1)(n) = yk;k1 (n) =
3 k1 =1 ∞
yk;k1 (n) (1;3) h k;k (m) u k1 (n − m) 1
(2)
m=0
where yk;k1 (n) is the kth MIMO Volterra linear output produced by the k1 th input. The second term in (1) is the third-order output of the MIMO system expressed as yk(3)(n) =
3 3 3
yk;k1 k2 k3 (n)
(3)
k1 =1 k2 =k1 k3 =1
where yk;k1 k2 k3 (n) is the kth MIMO Volterra third-order output produced by the k1 , k2 , k3 input. For complex-valued baseband MIMO Volterra kernels, only the unique kernel outputs are represented in (3) as the second summation starts with k2 = k1 due to symmetry of Volterra kernels [28]. Such contributions can be expressed as in [28] yk;k1 k2 k3 (n) =
∞ ∞ ∞ m 1 =0 m 2 =0 m 3 =0
(3;3)
h k;k1 k2 k3 (m 1 , m 2 , m 3 )
× u k1 (n − m 1 )u k2 (n − m 2 )u ∗k3 (n − m 3 ) (4)
(3;3)
where h k;k1 k2 k3 (m 1 , m 2 , m 3 ) are the third-order 3 × 3 MIMO Volterra kernels. These kernels are called self-kernels when k1 = k2 = k3 or, otherwise, crosskernels. The indexes k1 , k2 , k3 of the third-order 3 × 3 MIMO Volterra self-kernels and crosskernels listed in Table I. Note that the self-kernels have the same symmetry properties as SISO Volterra systems while the crosskernels have different properties [5]. The crosskernels where k1 = k2 can be symmetrized, however, the crosskernels where k1 = k2 cannot be symmetrized. Thus, whenever possible, this paper expresses the self-kernels and crosskernels in their symmetric versions. Finally, to simplify the notation, when there is no chance for confusion, u k (n), yk (n), yk;k1 (n), and yk;k1 k2 k3 (n) will be denoted as u k , yk , yk;k1 , and yk;k1 k2 k3 , respectively.
Fig. 2. Crosstalk configurations for a 3 × 3 RF MIMO transmitter. (a) Full-input crosstalk. (b) Full-output crosstalk. (c) Full-input full-output crosstalk. (d) Full-input partial-output crosstalk. (e) Partial-input full-output crosstalk. (f) Partial-input partial-output crosstalk.
TABLE I T RIPLETS k1 , k2 , k3 C ORRESPONDING TO THE T HIRD -O RDER (3;3) 3 × 3 MIMO V OLTERRA K ERNELS (h k;k k k (· · · )) 1 2 3
B. Hardware Impairments in RF MIMO Transmitters The proposed multitone extraction method is validated experimentally for a 3 × 3 RF MIMO transmitter. The paper focuses on hardware impairments arising from crosstalk between the channels as described in Section I. Therefore, α and β denote the input and output crosstalk arising from adjacent channels, respectively, whereas γ and ρ denote the input and output crosstalk arising from nonadjacent channels, as indicated in Fig. 2. Note that since the network follows the principle of reciprocity, the input (or output) crosstalk arising due to channel k1 over channel k2 is the same as the crosstalk arising due to channel k2 over channel k1 [34]. Also, if the channels are implemented in parallel configuration with equal distances between them, then γ ≈ α 2 and ρ ≈ β 2 [34]. It must also be noted that input and output crosstalk may arise independently of one another. Input crosstalk may
arise from different signal sources utilizing a common local oscillator (LO). Output crosstalk may arise from antenna coupling that causes dynamic loading of the amplifiers or by using the same LO for downconversion in the feedback path. Furthermore, manufacturing imperfections such as differences in isolation between the transmission paths, differences in the fabrication of the microstrip lines and nonideal matching networks may generate crosstalk independently, either at the input or output of the amplification stage. Thus, the reciprocity and independence of input and output crosstalk yield six possible configurations for a 3 × 3 RF MIMO transmitter, as shown in Fig. 2. In addition, for the analysis presented in this paper, the crosstalk is approximated as a static filter with −20 dB of isolation between the adjacent channels and −40 dB of isolation between the nonadjacent channels [30]. That is,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
α, β ≈ −20 dB, and γ , ρ ≈ −40 dB. Therefore, α + γ ≈ α, and β + ρ ≈ β. Furthermore, the level of input crosstalk rk;k1 k2 k3 (α, γ ), associated with the corresponding third-order kernel outputs is 3 3 rk;k1 k2 k3 (α, γ ) = α =1 I1 (k,k ) γ =1 I2 (k,k )
(5)
where, I1 (k, k ) and I2 (k, k ) are the indicator functions for the adjacent and nonadjacent channel crosstalk, respectively, and described as 1, if |k − k | = x Ix (k, k ) = (6) 0, otherwise. For example, r1;111(α, γ ) = 1, r1;112(α, γ ) = α and r1;233(α, γ ) = αγ 2 . Similarly, the level of output crosstalk sk;k1 k2 k3 (β, ρ), can be described as I (k ,k) I (k ,k) β 1 1 ρ 2 1 , if k1 = k2 = k3 sk;k1 k2 k3 (β, ρ) = (7) 0, otherwise. For example, s1;222(β, ρ) = β and s1;333(β, ρ) = ρ. Finally, the corresponding levels for input and output crosstalk v k;k1 k2 k3 (α, β, γ , ρ) are v k;k1 k2 k3 (α, β, γ , ρ) = rk;k1 k2 k3 (α, γ ) + βrζk ;k1 k2 k3 (α, γ ) + ρrηk ;k1 k2 k3 (α, γ ) + sk;k1 k2 k3 (β, ρ) (8) where, ζk are the adjacent channels to channel k and ηk are the nonadjacent channels to channel k. For example, v 1;121(α, γ , β, ρ) = α + βα + α 2 ργ ≈ α. III. M ULTITONE S IGNAL D ESIGN The goal of this paper is to design multitone excitation input signals of the form u k (n) =
Nk
e j (2π f k (i)n+φk (i))
(9)
i=1
such that the kernel outputs (contributions) in (4) are isolated from each other. That is, they fall in distinct frequency lines for each triplet {k1 , k2 , k3 }. These frequency isolated contributions can then be extracted from the measured output. In (9), j denotes the imaginary number, fk (i ) and φk (i ) denote the frequency and phase of the kth input signal, respectively, and Nk is the number of tones for the kth input. Note that the frequency grids of the linear MIMO kernel output in (2) are the same as the frequency grids of the input signals, i.e., f k (i ). This is a well-known result for linear systems. Thus, in order to extract the linear MIMO Volterra kernel outputs, it is sufficient to design excitation signals with distinct frequencies.
For the third-order MIMO Volterra kernel outputs, using (9) into (4) yields yk;k1 k2 k3 (n) ∞ ∞ ∞ (3;3) = h k;k1 k2 k3 (m 1 , m 2 , m 3 ) m 1 =0 m 2 =0 m 3 =0 N
×
N
N
k1 k2 k3
e j 2π[ f k1 (i1 )+ fk2 (i2 )− fk3 (i3 )]n e j [1 +2 −3 ]
i1 =1 i2 =1 i3 =1
(10) where fk1 k2 k3 (·, ·, ·) are the frequency grids of the third-order kernel outputs, = φk (i ) − 2π f k (i )m , = 1, 2, 3. By comparing (10) to (9), it can be noted that the third-order MIMO Volterra kernel output is also a multitone signal that falls in a frequency grid that is a permutation of the sums of the input signal frequency grids. That is, f k1 k2 k3 (i 1 , i 2 , i 3 ) = i1 i2 i3 [ f k1 (i 1 ) + f k2 (i 2 ) − f k3 (i 3 )]. Furthermore, these (3;3) multitones have amplitudes equal to |h k;k1 k2 k3 (m 1 , m 2 , m 3 )| (3;3) and phases equal to 1 + 2 − 3 + h k;k1 k2 k3 (m 1 , m 2 , m 3 ). Noticing that the kernel outputs belong to specific frequency grids, input signals can be designed to ensure nonoverlapping frequency grids at the output. A. Input Grid Design To isolate the kernel outputs in frequency domain, this paper proposes integer equally spaced frequency grids of the input signals as f k (i ) = ck ± (i − 1) k
(11)
for i = 1, 2, . . . , Nk , where ck is an input frequency offset and k is an input frequency spacing. For example, i = 1 yields f k (i ) = ck , whereas i = 2 yields f k (i ) = {ck − k , ck + k }. Therefore, the multitone kernel output frequency grid f k1 k2 k3 (·, ·, ·) also consists of integer equally spaced tones given as f k1 k2 k3 (i ) = ck1 ,k2 ,k3 ± (i − 1) k1 k2 k3
(12)
for i = 1, 2, . . . , Nk1 × Nk2 × Nk3 , where ck1 ,k2 ,k3 and k1 k2 k3 are the offset and frequency spacing of the k1 k2 k3 multitone kernel output. These values are determined as k1 k2 k3 = g.c.d.( k1 , k2 , k3 ) ck1 k2 k3 = ck1 + ck2 − ck3
(13a) (13b)
where g.c.d. denotes the greatest common divisor. Hence, by controlling the individual offsets and frequency spacings of the input signals, the location of the third-order kernel outputs can be designed, as described in the following. 1) Even–Odd Split: Previous works for SISO Volterra systems use multitone input signals with even or odd frequency grids [11], [12]. This has been extended for 2 × 2 MIMO systems in [24], where one input occupies an even frequency grid while the other input occupies an odd frequency grid. This causes the kernel outputs to split into even and odd frequency grids as well. For example, Table II shows this frequency splitting for a 3×3 MIMO system, where the frequency grid of
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
TABLE II S PLITTING OF THE I NPUTS AND T HIRD -O RDER K ERNEL O UTPUTS I NTO O DD AND E VEN F REQUENCY G RIDS
5
are summarized in Table III for c1 with c2 → even and c2 → odd. It must be noted that since yk;121 , yk;233 , and yk;222 form a partially overlapping group, the offset relations are derived only for yk;121 . From Table III, the offset relations for nonoverlapping kernel outputs are μ 1 2 c1 = 2c2 − c3 ± μ 1 2c2 + c3 ± μ 1 c1 = 3 c1 = 3c3 − 2c2 ± μ 1
c1 = c3 ± u 2 occupies even tones whereas the other inputs (u 1 and u 3 ), occupy odd tones. The frequency locations (even or odd) of the output multitones can be determined simply as the sums and subtractions of even and odd numbers (cf., to (10)). 2) Spacing Design: Note that for integer frequency grids, if G is the number of kernel outputs required to be frequency isolated, then the following condition needs to hold g.c.d.( 1 , 2 , 3 ) = 2G.
(14)
Therefore, k are multiple integers of 2G and, therefore, multiples of each other. It can be noted from Table II that there are eight kernel outputs that are required to be isolated. However, it can also be noted from (13b) that for yk;222 , yk;121, and yk;233, the offset c222 = c121 = c233. Therefore, the tones in these kernel outputs are located in frequency grids that have the same offset and whose spacings are multiples of each other. These kernel outputs will always overlap each other and can only be extracted jointly. Hence, five of the kernel outputs listed in Table II can be frequency isolated individually, i.e., yk;112 , yk;332 , yk;123 , yk;132 , and yk;231, whereas the remaining three kernel outputs yk;222 , yk;121 , and yk;233 cannot be frequency isolated from each other and can be considered as one group of kernel outputs to be extracted jointly. Thus, there are six kernel outputs (five individual and one group of three) that can be frequency isolated from each other, i.e., G = 6. However, the overlapping kernel outputs can still be extracted if the input spacing is unequal. For example, if 2 = 4 1 and 3 = 2 1 , then 121 = 1 , 233 = 2 1 , and 222 = 4 1 . Therefore, yk;121 will overlap only partially with yk;233 and yk;222 , and its contribution can be determined by extracting the nonoverlapping sections of its spectrum. Furthermore, yk;233 is overlapped completely by yk;121, but only partially by yk;222 . Thus, its contribution can be determined by extracting the tones in its spectrum that do not overlap with yk;222 and subtracting the already known contribution of yk;121 . Finally, yk;222 is overlapped completely by yk;121 and yk;233 , and its contribution can be determined by subtracting the already known contributions of yk;233 and yk;121 . In conclusion, the spacing design for extracting the kernel outputs, either directly or jointly, for minimum bandwidth with G = 6 requires 1 = 12, 3 = 24 and 2 = 48. Consequently, for equal bandwidth input signals, N3 = N1 /2 and N2 = N1 /4. 3) Offset Design: For completely nonoverlapping kernel outputs (or partially overlapping groups), the third-order MIMO Volterra kernel outputs yielding even frequency grids are analyzed using (13b) for all possible overlaps. The results
(15)
where μ = 1, 2, 3, . . . , denotes integer valued periods of factors of 1 . It must be noted that in Table III, conditions for nonoverlap refer to the mathematical relationships obtained for the nonoverlapping kernels using (13b), whereas the relations for nonoverlap refer to the explicit mathematical relationships obtained by solving (or simplifying) the corresponding conditions for nonoverlap.
B. Extraction Process Based on the aforementioned signal design, the kernel outputs are extracted from the a priori information regarding the tone positions of the kernel outputs. Furthermore, since any J ×K MIMO system can be analyzed as a decomposition of K MISO systems, each with J inputs [5], the extraction process is the same for all the output channels, and is described below. 1) The MIMO system is excited with tones located in an even frequency grid for u 2 , and odd frequency grids for u 1 and u 3 . The spacing and offset design for the location of the tones of these inputs signals is described in Section III-A. This design yields nonoverlapping kernel outputs yk;112 , yk;332 , yk;123 , yk;231 , and yk;132 , and partially overlapping kernel outputs yk;121, yk;233 , and yk;222 . 2) The nonoverlapping kernel outputs yk;112 , yk;332 , yk;123, yk;231, and yk;132 can be extracted directly from their respective PSDs using comblike filters. 3) The partially overlapping kernel outputs are extracted iteratively. First, yk;121 is extracted using comblike filters from the nonoverlapping tones in its spectrum. Next, yk;233 is extracted from tones in its spectrum that do not overlap with yk;222 . Finally, yk;222 is extracted from tones in its spectrum that do not overlap with the linear output yk;2 (the spectral regrowth region). 4) The inputs u 1 and u 2 are swapped yielding yk;111, yk;122 , and yk;133 as the partially overlapping kernel outputs, and yk;221 and yk;331 as the nonoverlapping kernel outputs. Steps 2 and 3 are then repeated with these groups. 5) The inputs u 1 and u 2 are swapped back and then u 3 and u 2 are swapped with each other. Thus, yk;333 , yk;131 , and yk;232 form the partially overlapping group, and yk;113 and yk;223 form the nonoverlapping group. Steps 2 and 3 are then repeated with these groups.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE III O FFSET D ESIGN FOR N ONOVERLAP OF THE T HIRD -O RDER MIMO V OLTERRA K ERNEL O UTPUTS
TABLE IV F REQUENCY G RIDS OF THE I NPUT S IGNALS AND THE T HIRD -O RDER MIMO V OLTERRA K ERNEL O UTPUTS
C. Grid Design Example For the multitone signal design described in Section III-A, using c2 = 2 and c3 = −5 in (15) yields c1 = 3, 11. Table IV then describes the resultant third-order kernel outputs for c1 = 3 as an example. It can be noted from Table IV that although yk;112 , yk;332 , yk;123, yk;132 , and yk;112 have a spacing of 12 or 24, yet each of them have different offsets. Hence, these kernel outputs are completely nonoverlapping. Furthermore, yk;121 , yk;233, and yk;222 have the same offset but a spacing of 12, 24, and 48, respectively. Hence, these kernel outputs form a group of partially overlapping kernel outputs. A slice of these frequency grids is shown in Fig. 3 where the amplitudes are scaled to facilitate visualization.
Fig. 3. Slice of the frequency grids listed in Table IV at the (a) input and (b) third-order kernel outputs. Note that the amplitudes are altered to facilitate visualization.
D. Guidelines for K × K MIMO Volterra A general set of guidelines for extracting the third-order K × K Volterra kernel outputs are provided in this section. 1) The input signals are split in terms of even and odd integer valued frequency grids. Therefore, u 1 , u 3 , . . . , u K consist of odd tones, and u 2 , u 4 , . . . , u K −1 consist of even tones, if K is odd. Similarly, u 1 , u 3 , . . . , u K −1 consist of odd tones and u 2 , u 4 , . . . , u K consist of even tones, if K is even.
2) Consequently, the third-order kernel outputs are split into even and odd frequency grids as well. Each class (even and odd) is further divided into nonoverlapping kernel outputs and groups of overlapping kernel outputs, the total number of which is G. 3) The input spacing k is designed for K being odd as 1 = 2G, 3 = 2 1 , . . . , K = 2 K −2 and 2 = 2 K , 4 = 2 2 , . . . , K −1 = 2 K −3 . For K being
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
even, the spacing design is the same except for 2 = 2 K −1 . As described in Section III-A2, G = 6 for K = 3. However, for K = 4, G = 14 and, therefore, 1 = 28, 3 = 56, 2 = 112, and 4 = 224. Therefore, the bandwidth efficiency of the proposed method deteriorates as K increases. 4) The offset design uses an arbitrary even integer valued c2 . The conditions for the remaining offsets are then derived by analyzing all possible overlaps as described in Table III (separately for odd and even offsets). Note that for the 3 × 3 MIMO Volterra considered in this paper, explicit relationships are derived between c1 and c3 . For K = 6 as an example, the offset relations would be derived between c1 , c3 , and c5 , and between c4 and c6 . 5) The kernel outputs are then extracted with comblike filters using the a priori information about the tones in the corresponding frequency grids. The corresponding extraction process is described for a 3×3 MIMO Volterra system in Section III-B. For K = 4 as an example, y141, y343, y242 , and y444 form the partially overlapping group; whereas y112 , y114 , y332, y334 , y224 , y442, y123, y143, y132, y134 , y231, and y341 form the nonoverlapping group. The inputs u 2 and u 4 are then swapped such that y121, y323, y244 , and y222 form a partially overlapping group. Finally, u 2 and u 3 are swapped, and, at the same time, u 4 and u 1 swapped, yielding nonoverlapping and partially overlapping groups for the remaining kernel outputs. 6) Note that as described in Section I, the K × K guidelines are also applicable for any J × K MIMO system [5]. IV. E XPERIMENTAL I NVESTIGATION A. Setup The proposed method is validated with an experimental setup that mimics a typical fourth generation (4G) 3 × 3 RF MIMO transmitter (cf., Fig. 4). It must be noted that the proposed method described in Section III is designed in the digital domain, but the experiments are performed in the analog domain. Therefore, the multitone signals are created in a PC for 20-MHz bandwidth using random phases distributed uniformly over [−π, π], to generate communicationlike signals. These signals are uploaded to three Rhode and Schwartz (R&S) SMBV100A vector signal generators (VSGs) and upconverted to 2.40 GHz to excite the device under test (DUT). The VSGs enhance RF phase coherency using an HS9003 signal generator from Holzworth Instrumentation [36] as a common external LO. The outputs from the DUT are downconverted to an intermediate frequency using a 2.04-GHz LO with wideband downconverters. The downconverted signals are measured using a 2 channel 14-bit resolution analogto-digital converter (ADC) operating at a sampling frequency of 400 MHz. Note that since J × K MIMO systems can be analyzed as K MISO systems with J inputs, the measurements are performed in one output at a time, using switches for example. Therefore, an electronic switch is used in this paper
7
Fig. 4. (a) Outline of the measurement setup used in experiments depicting three signal sources exciting the DUT, the downconversion process, and measurement with ADC. (b) Measurement setup used in experiments.
to perform three-channel measurements with a two-channel ADC. The ADC and the VSGs are then connected through a PC for control. Finally, the measured outputs are postprocessed with subsampled synchronization in the PC to compensate for delays in time and phase [29], [37], [38]. The DUT consists of three Mini Circuits ZHL-42 PAs placed between two coupling stages used for introducing crosstalk effects [22], [27]. The PAs have a gain of 30 dB each and a 1-dB compression point at an output power of 30 dBm. The crosstalk stages consist of three transmission lines manufactured in parallel using an FR4 substrate, mimicking RF leakage effects, and observe −19.7 and −37.0-dB isolation between the adjacent and nonadjacent channels, respectively. The experiments are performed for the six crosstalk configurations described in Fig. 2.
B. Results PSD of the extracted third-order MIMO Volterra kernel outputs for a 3 × 3 RF MIMO transmitter are plotted to identify the dominant hardware impairments in the different configurations. Note that since the self-kernel outputs overlap with the linear kernel outputs in-band, the contribution of these kernel outputs is depicted jointly. 1) Full Input Crosstalk: The PSD of third-order MIMO Volterra kernel outputs of channel 1 for full-input crosstalk is shown in Fig. 5. It can be noted from Fig. 5(a)–(c) that y1;111 is the dominant self-kernel output whereas the other self-kernel outputs do not contribute.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 5. PSD of the third-order kernel outputs at channel 1 for full-input crosstalk [cf., Fig. 2(a)] that partially overlap (a) y1;111 , (b) y1;222 , (c) y1;333 , and (d) none.
For the overlapping crosskernel outputs, Fig. 5(a)–(c) shows that the crosskernel output y1;121 has a dominant contribution since it arises from adjacent channel input crosstalk. Furthermore, y1;122 and y1;131 have weak contributions close to the noise floor due to a second-order adjacent channel input crosstalk and a linear nonadjacent channel input crosstalk, respectively. The remaining overlapping crosskernel outputs do not contribute. Finally, for the nonoverlapping crosskernel outputs, Fig. 5(d) shows that only y1;112 has a dominant contribution since it arises from adjacent channel input crosstalk. However, y1;221 and y1;113 have weak contributions close to the noise floor due to second-order adjacent channel and linear nonadjacent channel input crosstalk, respectively. The remaining nonoverlapping crosskernel outputs do not contribute. Next, the PSD of the kernel outputs at channel 2 is shown in Fig. 6. Again, it can be noted from Fig. 6(a)–(c) that only y2;222 is the contributing self-kernel output. For the overlapping crosskernel outputs, Fig. 6(a)–(c) shows that the crosskernel outputs y2;122 and y2;232 have strong contributions due to the adjacent channel input crosstalk whereas y2;121 and y2;233 have weak contributions resulting from second-order nonadjacent channel input crosstalk. Finally, for the nonoverlapping crosskernel outputs, Fig. 6(d) shows that y2;221 and y2;223 have a strong contribution since channels 1 and 3 are both adjacent to channel 2. However, y2;112, y2;332, y2;123, y2;132, and y2;231 have weak contributions arising from second-order adjacent channel input crosstalk. Since the kernel outputs on channel 3 can be determined by swapping u 1 with u 3 in y1 , their PSD is not plotted. Finally, it can be noted that the results presented in this section are in accordance with (5).
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6. PSD of the third-order kernel outputs at channel 2 for full-input crosstalk [cf., Fig. 2(a)] that partially overlap (a) y2;111 , (b) y2;222 , (c) y2;333 , and (d) none.
Fig. 7. PSD of third-order kernel outputs for full-output crosstalk [cf., Fig. 2(b)] at (a) Channel 1 and (b) Channel 2.
2) Full-Output Crosstalk: Fig. 7 plots the PSD of the third-order MIMO Volterra kernel outputs for a 3 × 3 MIMO transmitter for full-output crosstalk. It can be noted from Fig. 7 that for both channels 1 and 2, only the self-kernel outputs have a dominant contribution whereas the contribution of each of the crosskernel outputs is theoretically zero, up to the measurement noise. Furthermore, Fig. 7(a) shows that for channel 1, y1;111 is the dominant self-kernel output whereas y1;122 has a strong contribution and y1;333 has a weak contribution. Finally, Fig. 7(b) shows that for channel 2, y2;222 is the strongest self-kernel output. However, the self-kernel outputs y2;111 and y2;333 have approximately equal contributions since channels 1 and 3 are both adjacent to channel 2. Again, the kernel outputs on channel 3 are not plotted due to crosstalk reciprocity with channel 1. Finally, it can be noted that the results presented in this section are in accordance with (7).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
Fig. 8. PSD of the third-order kernel outputs at channel 1 for full-input fulloutput crosstalk [cf., Fig. 2(c)] that partially overlap (a) y1;111 , (b) y1;222 , (c) y1;333 , and (d) none.
3) Full-Input Full-Output Crosstalk: Fig. 8 plots the PSD of the third-order kernel outputs at channel 1 for full-input and full-output crosstalk. It can be noted that the kernel contributions are a sum of the contributions for input and output crosstalk. However, additional crosskernel outputs y1;232 and y1;223 arise due to a combination of input crosstalk between channels 2 and 3 and output crosstalk between channel 1 and 2. Next, Fig. 9 plots the PSD of the third-order kernel outputs at channel 2 for full-input and full-output crosstalk. Again, it can be noted that the kernel contributions are a sum of the contributions for input and output crosstalk. Finally, it can be noted that the results presented in this section are in accordance with (8).
Fig. 9. PSD of the third-order kernel outputs at channel 2 for full-input fulloutput crosstalk [cf., Fig. 2(c)] that partially overlap (a) y2;111 , (b) y2;222 , (c) y2;333 , and (d) none.
2)
C. Identification of Crosstalk Effects The proposed method reveals significant differences in the output PSDs of the third-order kernel outputs, observable for each hardware configuration and in each channel (cf., Fig. 2). Therefore, the proposed method can be used to successfully identify crosstalk effects and their predominance. These contributions are summarized in Table V. The kernel outputs not listed in Table V do not contribute to the output. V. D ISCUSSION From the results presented in Section VI, for the extraction of third-order 3 × 3 MIMO Volterra kernel outputs, the following can be noted. 1) The input grid spacing increases with the number of MIMO Volterra kernel outputs required to be extracted,
9
3)
4)
5)
6)
which, in turn, increase with the number of MIMO channels. Therefore, higher order MIMO systems would require wider grid spacing at the input, consequently, reducing the bandwidth efficiency of the proposed method. For an RF MIMO transmitter with a large number of channels, kernel outputs up to K − 1 nonadjacent channels contribute to the output such that the product of α K (or β K ) with the dominant self-kernel outputs reaches the noise floor. In this paper, α ≈ β ≈ −20 dB, the level of the dominant self-kernel outputs is −20 dBc, and the noise floor is at −80 dBc. Thus, the weakest kernel outputs reach the noise floor for K = 3. Therefore, only up to the second-order adjacent channels contribute to the output. Extraction of the third-order kernel outputs does not depend on the level of crosstalk. Instead, the relative contributions of the extracted third-order kernel outputs can be used to determine the level of input or output crosstalk between two channels. The proposed method can be used with any DUT that can be described as an MIMO Volterra system. Thus, the proposed method can work with different PAs and coupling hardware. The proposed method can also be used in various other applications that deploy MIMO nonlinear dynamic systems, and not just RF MIMO transmitters. Characterization techniques such as the proposed method provide an effective tool for obtaining system information through kernel extraction. Comparing
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE V S UMMARY OF R ELATIVE C ONTRIBUTIONS OF THE T HIRD -O RDER MIMO V OLTERRA K ERNEL O UTPUTS FOR D IFFERENT C ROSSTALK C ONFIGURATIONS
them with postprocessing techniques such as principal compressed sensing or principal component analysis (PCA), it can be noted that these methods have inherent limitations with respect to extracting system information through kernel analysis. Compressed sensing for MIMO Volterra systems is dependent on the initialization with respect to the size for the search space [39]. On the other hand, PCA is a postprocessing data technique not linked directly to a model formulation (as the Volterra series). Furthermore, it requires orthogonal search spaces, not yet developed for MIMO Volterra systems, and, thus, would require conversion from orthogonal space to Volterra kernel space [40]. VI. C ONCLUSION This paper presents a method for the extraction of the third-order 3 × 3 MIMO Volterra kernels outputs using multitone excitation signals with differing tone spacing. The proposed input frequency grids yield third-order kernel outputs that fall in a nonoverlapping frequency grid, either partially or completely. It is shown that the spacing of the input signals must be an integer multiple of twice the total number of
nonoverlapping kernel outputs (or partially overlapping kernel output groups). Furthermore, the relationships between the frequency offsets that ensure nonoverlapping kernel outputs are also derived. The nonoverlapping (or partially overlapping) frequency grids at the output can then be used to determine the relative strengths of the individual kernel contributions. The proposed method is validated with measurements for the six possible crosstalk configurations of a 3 × 3 RF MIMO transmitter. The relative contributions of the kernel outputs are determined from their respective PSDs for each configuration. The relative kernel contributions show that input crosstalk is responsible for the generation of crosskernel outputs whereas output crosstalk is responsible for additional self-kernels arising from different adjacent or nonadjacent channels. Furthermore, it can also be noted that dominant third-order kernel outputs arise due to adjacent channel crosstalk. However, weak third-order kernel outputs arise due to a second-order adjacent channel crosstalk, a nonadjacent channel crosstalk or a combination of adjacent channel input and output crosstalk. The extracted kernel outputs can be used for identifying the individual MIMO Volterra kernels revealing the nature of interactions between the different frequency dependent inputs
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
11
Fig. 10. PSD of the third-order kernel outputs at channel 1 for full-input partial-output crosstalk [cf., Fig. 2(d)] that partially overlap (a) y1;111 , (b) y1;222 , (c) y1;333 , and (d) none.
Fig. 11. PSD of the third-order kernel outputs at channel 2 for full-input partial-output crosstalk [cf., Fig. 2(d)] that partially overlap (a) y1;111 , (b) y1;222 , (c) y1;333 , and (d) none.
of a nonlinear MIMO system. The nonoverlapping kernel can be identified directly from the respective kernel outputs using least squares estimation techniques. The partially overlapping kernels can be identified from the nonoverlapping tones of the respective kernel outputs as band-limited MIMO Volterra systems cascaded to comblike filters. Furthermore, since the proposed method reveals the dominant crosstalk effects for each configuration, the extracted kernel outputs can then be used to identify the crosstalk configuration prevailing in an MIMO transmitter. This can be particularly useful in RF massive MIMO transmitters where the identification of the crosstalk configuration between different channels becomes more significant than the actual identification of an unfeasibly large number of MIMO Volterra kernels. Finally, this paper paves the way for analyzing the kernel outputs in massive MIMO transmitters using blocks of limited inputs, i.e., using 3 × 3 RF MIMO subsystems as the one studied in this paper.
outputs follow the same trends as described for channel 2 in Fig. 9 for full-input full-output crosstalk, except the kernel output y2;111, which does not contribute since there is no output crosstalk on channel 1. Fig. 12 plots the third-order kernel PSD at the output of channel 3 for full-input partial-output crosstalk. It can be noted that the self-kernel output y3;222 has a strong contribution since it arises from adjacent channel output crosstalk, whereas the self-kernel output y3;111 does not contribute since there is no output crosstalk on channel 1. Furthermore, it can be noted that the crosskernel outputs y3;233 and y3;332 have strong contributions to the output whereas y3;232, y3;223, y3;133, y3;331, y3;121, and y3;221 have weak contributions to the output. 2) Partial-Input Full-Output Crosstalk: Fig. 13 plots the PSD of the third-order kernel outputs at channel 1 for partial-input full-output crosstalk. The contributions of the kernel outputs follow the same tends as full-input full-output crosstalk (cf., Fig. 8), except that the crosskernel outputs arise only form channel 2 since there is no input crosstalk on channel 3. Fig. 14 plots the PSD of the third-order kernel outputs at channel 2 for partial-input full-output crosstalk. Again, the contributions of the kernel outputs follow the same trends as for full input full output crosstalk (cf., Fig. 9), except that the crosskernel outputs arise only from channel 1 since there is no input crosstalk on channel 3. Finally, Fig. 15 plots the PSD of the third-order kernel outputs at channel 3 for partial-input full-output crosstalk. It can be noted from Fig. 15(a)–(c) that the self-kernel outputs follow the same trends as described for channel 1
A PPENDIX The relative contributions of the extracted third-order MIMO Volterra kernel outputs for the crosstalk configurations depicted in Fig. 2(d)–(f) are described by plotting the PSD of each of the kernel outputs, in the following. 1) Full-Input Partial-Output Crosstalk: Fig. 10 plots the PSD of the third-order kernel outputs at channel 1 for full-input partial-output crosstalk. All the third-order kernel outputs follow the same trends as channel 1 in Fig. 5 for full-input crosstalk, since there is no output crosstalk on channel 1. Fig. 11 plots the third-order kernel output PSD at channel 2 for full-input partial-output crosstalk. All the third-order kernel
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. PSD of the third-order kernel outputs at channel 3 for full-input partial-output crosstalk [cf., Fig. 2(d)] that partially overlap (a) y2;111 , (b) y2;222 , (c) y2;333 , and (d) none.
Fig. 14. PSD of the third-order kernel outputs at channel 2 for partial-input full-output crosstalk [cf., Fig. 2(e)] that partially overlap (a) y2;111 , (b) y2;222 , (c) y2;333 , and (d) none.
Fig. 13. PSD of the third-order kernel outputs at channel 1 for partial-input full-output crosstalk [cf., Fig. 2(e)] that partially overlap (a) y1;111 , (b) y1;222 , (c) y1;333 , and (d) none.
Fig. 15. PSD of the third-order kernel outputs at channel 3 for partial input full-output crosstalk [cf., Fig. 2(e)] that partially overlap (a) y3;111 , (b) y3;222 , (c) y3;333 , and (d) none.
in Fig. 13(a)–(c) due to output crosstalk reciprocity between channels 1 and 3. However, since there is no input crosstalk on channel 3, only y3;221 and y3;122 contribute to the output.
3) Partial-Input Partial-Output Crosstalk: Fig. 16 plots the PSD of the third-order kernel outputs at channel 1 for partial-input partial-output crosstalk. It can be noted that all the kernel outputs arise only from channel 2 since there is
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
Fig. 16. PSD of the third-order kernel outputs at channel 1 for partial-input partial-output crosstalk [cf., Fig. 2(f)] that partially overlap (a) y1;111 , (b) y1;222 , (c) y1;333 , and (d) none.
13
Fig. 18. PSD of the third-order kernel outputs at channel 3 for partial-input partial-output crosstalk [cf., Fig. 2(f)] that partially overlap (a) y3;111 , (b) y3;222 , (c) y3;333 , and (d) none.
no input crosstalk on channel 3. Again, the contributions of these kernel outputs follow the same trends as noted for full input full output crosstalk (cf., Fig. 9). Finally, for channel 3, Fig. 18 shows that no kernel outputs arise directly from channel 1 since there is no crosstalk between channels 1 and 3. Also, there are no crosskernel outputs arising directly from channel 2 since there is no input crosstalk between channels 2 and 3. Instead, only the crosskernel outputs y3;122 and y3;221 arise, with a weak contribution close to the noise floor. These results are in accordance with the analysis presented in Section IV-F. R EFERENCES
Fig. 17. PSD of the third-order kernel outputs at channel 2 for partial-input partial-output crosstalk [cf., Fig. 2(f)] that partially overlap (a) y2;111 , (b) y2;222 , (c) y2;333 , and (d) none.
no crosstalk between channels 1 and 3, and follow the same trends as noted for full-input full-output crosstalk (cf., Fig. 8). For channel 2, Fig. 17 shows that the self-kernels arise only from channel 3 since there is no output crosstalk from channel 1, and crosskernels arise only from channel 1 since there is
[1] V. Volterra, Theory of Functionals and of Integral and IntegroDifferential Equations. Mineola, NY, USA: Dover, 1959. [2] N. Wiener, Nonlinear Problems in Random Theory. New York, NY, USA: MIT Press, 1959. [3] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems. New York, NY, USA: Wiley, 1980. [4] S. Boyd and L. Chua, “Fading memory and the problem of approximating nonlinear operators with Volterra series,” IEEE Trans. Circuits Syst., vol. 32, no. 11, pp. 1150–1161, Nov. 1985. [5] A. K. Swain and S. A. Billings, “Generalized frequency response function matrix for MIMO non-linear systems,” Int. J. Control., vol. 74, no. 8, pp. 829–844, 2001. [6] L. M. Li and S. A. Billings, “Generalized frequency response functions and output response synthesis for MIMO non-linear systems,” Int. J. Control, vol. 79, no. 1, pp. 53–62, 2006. [7] Z. K. Peng, Z. Q. Lang, and S. A. Billings, “Non-linear output frequency response functions for multi-input non-linear Volterra systems,” Int. J. Control, vol. 80, no. 6, pp. 843–855, 2007. [8] W. J. Rugh, Nonlinear System Theory: The Volterra/Wiener Approach. Baltimore, MD, USA: The Johns Hopkins Univ. Press, 1981. [9] S. Boyd, Y. Tang, and L. Chua, “Measuring Volterra kernels,” IEEE Trans. Circuits Syst., vol. 30, no. 8, pp. 571–577, Aug. 1983.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
[10] L. N. O. Chua and Y. Liao, “Measuring Volterra kernels (II),” Int. J. Circuit Theory Appl., vol. 17, no. 2, pp. 151–190, 1989. [11] C. Evans, D. Rees, L. Jones, and M. Weiss, “Periodic signals for measuring nonlinear Volterra kernels,” IEEE Trans. Instrum. Meas., vol. 45, no. 2, pp. 362–371, Apr. 1996. [12] C. Evans and D. Rees, “Nonlinear distortions and multisine signals. I. Measuring the best linear approximation,” IEEE Trans. Instrum. Meas., vol. 49, no. 3, pp. 602–609, Jun. 2000. [13] K. Vanhoenacker, T. Dobrowiecki, and J. Schoukens, “Design of multisine excitations to characterize the nonlinear distortions during FRF-measurements,” IEEE Trans. Instrum. Meas., vol. 50, no. 5, pp. 1097–1102, Oct. 2001. [14] J. C. Pedro and N. B. Carvalho, “Designing multisine excitations for nonlinear model testing,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 1, pp. 45–54, Jan. 2005. [15] Z. Q. Lang, S. A. Billings, R. Yue, and J. Li, “Output frequency response function of nonlinear Volterra systems,” Automatica, vol. 43, no. 5, pp. 805–816, 2007. [16] T. R. Harper and W. J. Rugh, “Structural features of factorable Volterra systems,” IEEE Trans. Autom. Control, vol. 21, no. 6, pp. 822–832, Dec. 1976. [17] J. H. Haywood and Y. L. Chow, “Intermodulation distortion analysis using a frequency-domain harmonic balance technique,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 8, pp. 1251–1257, Aug. 1988. [18] J. C. Pedro and N. B. D. Carvalho, “On the use of multitone techniques for assessing RF components’ intermodulation distortion,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 12, pp. 2393–2402, Dec. 1999. [19] D. H. Wisell, B. Rudlund, and D. Rönnow, “Characterization of memory effects in power amplifiers using digital two-tone measurements,” IEEE Trans. Instrum. Meas., vol. 56, no. 6, pp. 2757–2766, Dec. 2007. [20] D. Rönnow, D. Wisell, and M. Isaksson, “Three-tone characterization of nonlinear memory effects in radio-frequency power amplifiers,” IEEE Trans. Instrum. Meas., vol. 56, no. 6, pp. 2646–2657, Dec. 2007. [21] N. Björsell, P. Suchanek, P. Händel, and D. Rönnow, “Measuring Volterra kernels of analog-to-digital converters using a stepped threetone scan,” IEEE Trans. Instrum. Meas., vol. 57, no. 4, pp. 666–671, Apr. 2008. [22] M. Alizadeh, S. Amin, and D. Rönnow, “Measurement and analysis of frequency-domain Volterra kernels of nonlinear dynamic 3 × 3 MIMO systems,” IEEE Trans. Instrum. Meas., vol. 66, no. 7, pp. 1893–1905, Jul. 2017. [23] J. J. Bussgang, L. Ehrman, and J. W. Graham, “Analysis of nonlinear systems with multiple inputs,” Proc. IEEE, vol. 62, no. 8, pp. 1088–1119, Aug. 1974. [24] Z. A. Khan, E. Zenteno, P. Händel, and M. Isaksson, “Multitone design for third order MIMO Volterra kernels,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1553–1556. [25] S. Amin, P. Landin, P. Händel, and D. Rönnow, “Behavioral modeling and linearization of crosstalk and memory effects in RF MIMO transmitters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 810–823, Apr. 2014. [26] S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “Crossover digital predistorter for the compensation of crosstalk and nonlinearity in MIMO transmitters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1119–1128, May 2009. [27] P. M. Suryasarman and A. Springer, “A comparative analysis of adaptive digital predistortion algorithms for multiple antenna transmitters,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 5, pp. 1412–1420, May 2015. [28] E. Zenteno, R. Piazza, B. S. M. R. Rao, D. Rönnow, and B. Ottersten, “Low complexity predistortion and equalization in nonlinear multicarrier satellite communications,” EURASIP J. Adv. Signal Process, vol. 2015, no. 1, pp. 1–15, 2015. [29] Z. A. Khan, E. Zenteno, P. Händel, and M. Isaksson, “Digital predistortion for joint mitigation of I/Q imbalance and MIMO power amplifier distortion,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 322–333, Jan. 2017. [30] Y. Palaskas et al., “A 5-GHz 108-Mb/s 2 × 2 MIMO transceiver RFIC with fully integrated 20.5-dBmP1d B power amplifiers in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2746–2756, Dec. 2006. [31] W.-C. Hua et al., “Coupling effects of dual SiGe power amplifiers for 802.11n MIMO applications,” in Proc. IEEE Radio Freq. Integr. Circuits (RFIC) Symp., Jun. 2006, pp. 4–7.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[32] F. F. Dai, Y. Shi, J. Yan, and X. Hu, “MIMO RFIC transceiver designs for WLAN applications,” in Proc. 7th Int. ASIC Conf., Oct. 2007, pp. 348–351. [33] T. Sadeghpour, R. A. Alhameed, N. T. Ali, I. T. E. Elfergani, Y. Dama, and O. O. Anoh, “Linear and nonlinear crosstalk in MIMO OFDM transceivers,” in Proc. 18th IEEE Int. Conf. Electron., Circuits, Syst. (ICECS), Dec. 2011, pp. 504–507. [34] D. M. Pozar, Microwave Engineering. New York, NY, USA: Wiley, 1998. [35] D. Hummels and R. Gitchell, “Equivalent low-pass representations for bandpass Volterra systems,” IEEE Trans. Commun., vol. 28, no. 1, pp. 140–142, Jan. 1980. [36] Holzworth Instrumentation, “Ultra low phase noise—Phase coherent synthesizers,” Microw. J., vol. 10, pp. 48–50, Aug. 2009. [37] L. Anttila, M. Valkama, and M. Renfors, “Frequency-selective I/Q mismatch calibration of wideband direct-conversion transmitters,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 4, pp. 359–363, Apr. 2008. [38] Z. Zhu, X. Huang, and H. Leung, “Joint I/Q mismatch and distortion compensation in direct conversion transmitters,” IEEE Trans. Wireless Commun., vol. 12, no. 6, pp. 2941–2951, Jun. 2013. [39] E. Zenteno, S. Amin, M. Isaksson, P. Händel, and D. Rönnow, “Combating the dimensionality of nonlinear MIMO amplifier predistortion by basis pursuit,” in Proc. 44th Eur. Microw. Conf. (EuMC), Oct. 2014, pp. 833–836. [40] I. Jaffel, O. Taouali, M. F. Harkat, and H. Messaoud, “Kernel principal component analysis with reduced complexity for nonlinear dynamic process monitoring,” Int. J. Adv. Manuf. Technol., vol. 88, no. 9, pp. 3265–3279, Feb. 2017.
Zain Ahmed Khan (S’13) received the B.S. degree in electronics engineering from the Ghulam Ishaq Khan Institute, Swabi, Pakistan, in 2005, and the M.S. degree in communication and signal processing from Technical University Ilmenau, Illmenau, Germany, in 2013. He is currently pursuing the Ph.D. degree at the Department of Information Science and Engineering, KTH Royal Institute of Technology, Stockholm, Sweden. He is currently with the Department of Electronics, Mathematics, and Natural Sciences, University of Gävle, Gävle, Sweden. He is involved in the characterization, behavioral modeling, and digital predistortion of nonlinear RF MIMO and massive MIMO transmitters impaired by crosstalk.
Efrain Zenteno (S’10–M’16) received the B.S. degree in electronics engineering from the Universidad San Agustn, Iloilo City, Philippines, in 2005, the M.Sc. degree in electronics and telecommunications from the University of Gävle, Gävle, Sweden, in 2008, and the Ph.D. degree from the KTH Royal Institute of Technology, Stockholm, Sweden, in 2015. He is currently with Universidad Catolica San Pablo, Arequipa, Peru, where he is responsible for teaching and research activities with the Electronics and Telecommunications Department. His current research interests include measurements and signal processing for communications.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KHAN et al.: EXTRACTION OF THIRD-ORDER 3 × 3 MIMO VOLTERRA KERNEL OUTPUTS
Peter Händel (S’88–M’94–SM’98) received the Ph.D. degree from Uppsala University, Uppsala, Sweden, in 1993. From 1987 to 1993, he was with Uppsala University. From 1993 to 1997, he was with Ericsson AB, Kista, Sweden. From 1996 to 1997, he was a Visiting Scholar with the Tampere University of Technology, Tampere, Finland. Since 1997, he has been with the KTH Royal Institute of Technology, Stockholm, Sweden, where he is currently a Professor of signal processing with the Department of Information Science and Engineering. From 2000 to 2006, he held an adjunct position with the Swedish Defence Research Agency. He has been a Guest Professor with the Indian Institute of Science, Bangalore, India, and the University of Gävle, Gävle, Sweden. Dr. Händel was a recipient of the Best Survey Paper Award of the IEEE Intelligent Transportation Systems Society in 2013. He has served as an Associate Editor for the IEEE T RANSACTIONS ON S IGNAL P ROCESSING.
15
Magnus Isaksson (S’98–M’07–SM’12) received the M.Sc. degree in microwave engineering from the University of Gävle, Gävle, Sweden, in 2000, the Licentiate degree from Uppsala University, Uppsala, Sweden, in 2006, and the Ph.D. degree from the KTH Royal Institute of Technology, Stockholm, Sweden, in 2007. In 2012, he was appointed as a Docent in telecommunications with the KTH Royal Institute of Technology, Stockholm, Sweden. From 1989 to 1999, he was with the Televerket, Stockholm, where he was involved in communication products. Since 1999, he has been with the Department of Electronics, Mathematics, and Natural Sciences, University of Gävle, where he is currently a Professor and the Head of the Electronics Department. He is currently the Head of research within the fields of mathematics, and natural sciences with the University of Gävle. He has authored or co-authored many peer-review journal papers, books, and conference proceedings in the area. His current research interests include signal processing algorithms for radio frequency measurements and characterization, modeling, and compensation of nonlinear microwave devices and systems.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Instantaneous Sample Indexed Magnitude-Selective Affine Function-Based Behavioral Model for Digital Predistortion of RF Power Amplifiers Yue Li , Student Member, IEEE, Wenhui Cao , Student Member, IEEE, and Anding Zhu , Senior Member, IEEE Abstract— In this paper, we present a new behavioral model for digital predistortion (DPD) of RF power amplifiers in wireless transmitters. Derived from the decomposed vector rotation model, the new model uses magnitude-selective affine functions as nonlinear operators to construct nonlinear behavior of the model, leading to a highly efficient hardware implementation. Moreover, cross-terms are carefully redesigned based on a new formulation of model structure that not only improves the modeling performance but also significantly lowers the complexity of model extraction. Simulation and experimental results have demonstrated its superior performance and efficient hardware implementation, making this model well suitable for future DPD deployment in 5G small cell base stations where digital hardware resource is highly constrained. Index Terms— Affine function, behavioral model, digital predistortion (DPD), linearization, power amplifiers (PAs), wireless transmitter.
I. I NTRODUCTION
D
IGITAL predistortion (DPD) has been widely accepted as a high-performance linearization technique for compensating nonlinearities caused by radio frequency (RF) power amplifiers (PAs) in wireless transmitters [1], [2]. In nextgeneration communication systems, e.g., 5G, with the pursuit of higher spectral efficiency and higher data rates, more complex modulation schemes with wider signal bandwidth will be introduced. This requires more complex PA architectures to be used in wireless transmitters, and thus, demands more sophisticated linearization systems to be deployed. Furthermore, with the introduction of small cell base stations and massive multiple-input multiple-output (MIMO) architectures [3], the output power of the PA will be significantly reduced, which leaves little hardware headroom for DPD since the power budget for DPD must shrink accordingly with the PA power. In future DPD development, therefore, not only is the performance of concern but the hardware implementation
Manuscript received March 22, 2018; revised June 26, 2018; accepted June 30, 2018. This work was supported in part by research grants from Science Foundation Ireland (SFI) under Grant Numbers 13/RC/2077 and 12/IA/1267. (Corresponding author: Yue Li.) Y. Li and A. Zhu are with the School of Electrical and Electronic Engineering, University College Dublin, Dublin 4, Ireland (e-mail:
[email protected];
[email protected]). W. Cao is with the Institute of Antennas and Microwave Technology, School of Electronics and Information, Hangzhou Dianzi University, Hangzhou 310018, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2855134
complexity and the related power consumption must also be carefully considered. In previous years, many DPD models have been proposed [1], including memory polynomials (MP) [4], generalized MP (GMP) [5], and dynamic deviation reduction (DDR) [6]. The majority of behavioral models developed so far are pruned versions of the Volterra series. While dealing with strong nonlinearities, these polynomial-based models face significant challenges, since employing high-order polynomial functions with a large number of coefficients can lead to high complexity in model implementation and also introduce potential ill-conditioning in model extraction. One way to alleviate this issue is to introduce new behavioral models. Recently, a decomposed vector rotation (DVR) model [7] and its variant, a magnitude-selective affine (MSA) function-based model [8], have been proposed. These models can achieve comparable performance with regard to Volterra models while having much lower complexity. Furthermore, DVR and MSA models allow the use of flexible nonlinear basis functions and memory terms, which significantly boosts their modeling capability. In addition to the model complexity, the complexity of model parameter extraction is also crucial for the successful deployment of future DPD. Existing model extraction approaches, either direct learning or indirect learning, rely extensively on the least squares (LS) method [9]. While several techniques [10], [11] have been proposed to reduce the complexity of LS, its complexity still grows rapidly with the increase in the number of coefficients. The situation may become even worse, as future DPD models may inevitably involve a large number of coefficients to tackle the ever more complex PA nonlinearities. For instance, in massive MIMO systems, multiple channels are involved and the total number of coefficients may increase dramatically [12], which will significantly increase the complexity of model extraction. Recently, stochastic optimization, such as simultaneous perturbation stochastic optimization (SPSA)-based model extraction [13], [14], showed strong modeling capability with substantially lower hardware complexity compared to the conventional LS based approaches; however, the drawback is that this approach requires a large number of iterations to reach the same accuracy as LS. In this paper, we extend our prior work on the MSA model [8] to propose a new model structure for DPD. Based on a thorough analysis of memory term representation and a radical rethink of model structure, we find that it is possible
0018-9480 © 2018 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
to embed the cross-terms directly in piecewise affine functions to characterize the interactions between the memory samples. By carefully redesigning the piecewise model structure, we will show that a simple first-order model can be used to linearize highly nonlinear PAs with superior performance. This results in very low hardware resource usage in DPD implementation, and thus reduces the cost and power consumption. Because the coefficients of the new model can be divided into groups and extracted separately in parallel, the complexity of model parameter extraction can also be significantly reduced. The rest of this paper is organized as follows. In Section II, the derivation of the MSA model is given. Section III presents the new behavioral model based on a new way of constructing cross-terms. Subsequently, in Section IV, simplification of model extraction is introduced with application to both LS- and SPSA-based approaches. Section V reports the simulation and experimental results, followed by a conclusion in Section VI. II. F ROM DVR TO MSA The DVR model was derived from a modified form of the canonical piecewise linear function (CPWL) [15]. In this model, the nonlinear operation is achieved by using the “absolute” value operation, which is different from the polynomials that are used in the Volterra models. Theoretical analysis has shown that this model is much more flexible in modeling RF PAs and experimental results confirmed that it can produce an excellent performance with a small number of coefficients compared to the conventional models [7]. A. DVR Model The DVR model [7] is given by u(n)| ˜ DVR =
M
a˜ i x(n ˜ − i)
i=0
+
K M
c˜ki,1 ||x(n ˜ − i )| − βk |e j θn−i
i=0 k=1
+
K M
c˜ki,21 ||x(n ˜ − i )| − βk |e j θn−i |x(n)| ˜
i=0 k=1
+
K M
c˜ki,22 ||x(n ˜ − i )| − βk |x(n) ˜ + · · · (1)
i=1 k=1
where x(n) ˜ and u(n) ˜ represent the baseband input and output, respectively. βk is the threshold value that divides the input range into K partitions. The outer | · | performs the absolute value operation while the inner |·| calculates the magnitude of ˜ M denotes the input signal. θn represents the phase of x(n). the memory length. a˜ i and c˜ki, j are the model coefficients. The implementation of the DVR model is straightforward. As shown in Fig. 1, the input complex signal is first decomposed into magnitude and phase using coordinate rotation digital computer (CORDIC) algorithm. Subsequently, in every branch, the obtained magnitude |x(n ˜ − i )| is compared with threshold values before the absolute value operation and multiplication with the coefficients. After summing up the results
Fig. 1.
Hardware implementation example of the first-order DVR model.
from all branches, the phase is finally restored by multiplying with e j θn−i . For second-order basis, e.g., c˜ki,21 ||x(n ˜ − i )| − βk |e j θn−i |x(n)|, ˜ a nonlinear order extension term |x(n)| ˜ can be added to improve the model performance. B. MSA Model Compared to the Volterra models, a significant saving in hardware resources can be achieved by replacing high-order polynomials with the “absolute” value operation in the DVR model. Multiple multipliers are, however, still required to process the input magnitudes at different branches, which can lead to high implementation cost in some cases because the implementation of complex multipliers requires dedicated hardware resource and occupies a large silicon area. To make the model hardware friendly, in [16], we changed the model into a subdecomposed format, called SD-DVR, by employing modified simplicial CPWL functions when conducting model extraction. It significantly reduces the computational complexity in model extraction, but the DPD model structure stays the same in the original DVR format. To further reduce the complexity, in [8], we proposed to transfer the DVR structure directly into the MSA format. The major K transformation is to c˜ki ||x(n−i ˜ )|−βk |. reformulate the piecewise linear term k=1 In the DVR model, this part is understood as the sum of a number of symmetrical half-line pairs shown in Fig. 2(a). It can be observed that when all half-line pairs are added together, the resulting function can actually be reformulated into a polyline that consists of several segments jointing at the threshold values. Therefore, a more direct representation for this nonlinear operation can be expressed as a set of affine functions defined at different magnitude zones, as shown in Fig. 2(b). K c˜ki ||x(n ˜ − i )| − βk | in the The piecewise linear term k=1 DVR model in (1) can thus be substituted by a series of affine functions K k=1
c˜ki ||x(n ˜ − i )| − βk | ⎧ ˜ − i )| + B1i ) 0 ≤ |x(n ˜ − i )| < β1 ⎪ ⎪(A1i |x(n ⎪ ⎪ ⎨(A2i |x(n ˜ − i )| + B2i ) β1 ≤ |x(n ˜ − i )| < β2 = .. .. ⎪ ⎪ . . ⎪ ⎪ ⎩ ˜ − i )| + B K i ) β K −1 ≤ |x(n ˜ − i )| ≤ β K . (A K i |x(n (2)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: INSTANTANEOUS SAMPLE INDEXED MSA FUNCTION-BASED BEHAVIORAL MODEL FOR DPD OF RF PAs
Fig. 4.
3
Hardware implementation example of the first-order MSA model.
where Fig. 2. Illustration of piecewise linear terms in (a) DVR model and (b) MSA model.
Fig. 3.
Operation of MSA function.
Instead of calculating the sum of several absolute value functions, the piecewise linear operation in the new model is achieved by selecting different coefficients for the affine function, according to the input magnitude. To further explain, take input samples x(1) ˜ = 0.02−0.09 j and x(2) ˜ = 0.03+0.1 j ˜ can be as examples, as illustrated in Fig. 3. If β1 = 0.1, x(1) directed into Zone 1 and x(2) ˜ is in Zone 2. The output can then be obtained as |0.02−0.09 j |·A1+B1 and |0.03+0.1 j |·A2+B2 , respectively, where Ak and Bk represent the gain and offset of the affine function defined in Zone k. This transformation makes it possible to significantly simplify the hardware implementation. As illustrated in Fig. 4, after the CORDIC algorithm, the obtained magnitude |x(n−i ˜ )| is compared with the threshold values to pick the desired gain and offset for the affine function calculation. The phase is then restored by multiplying with e j θn−i . This new implementation only requires one multiplier and one adder for all the samples in the first-order model. Compared with the DVR model which requires K multipliers and adders for each nonlinear block, as shown in Fig. 1, the MSA model substantially cuts down the hardware cost. The full MSA model can be expressed as u(n)| ˜ MSA =
M
u i,1 (n − i )e j θn−i
u i, j (n − i ) ⎧ ⎪ ˜ − i )| + B1i, j ) 0 ≤ |x(n ˜ − i )| < β1 ⎪ ⎨(A1i, j |x(n ˜ − i )| + B2i, j ) β1 ≤ |x(n ˜ − i )| < β2 = (A2i, j |x(n ⎪ .. .. ⎪ ⎩ . .
(4)
Similar to the DVR model, the MSA model also retains the linear-in-parameters property, meaning that the general linear system identification methods, e.g., LS, can be directly applied to extract the parameters. Furthermore, it is worth noting that despite a lookup table (LUT) being used for storing the coefficients, the proposed MSA model is very different from the conventional LUT-based DPD. In the conventional LUT approach [17], [18], the complex gain corrections are applied to each sample directly according to the magnitude of the sample where no further operation is involved. In this case, a fine resolution is required to index the magnitude levels to select proper gain/phase changes over the input power levels. Therefore, the LUT size is normally very large, e.g., with hundreds or thousands of entries, to cover the nonlinear behavior changes over the whole magnitude/power range. But in the MSA model, the LUT is not storing gain/phase changes, but the coefficients of the affine functions. In other words, in this paper, we divide the nonlinear transfer function of DPD into multiple segments and each segment is modeled by a different function. In this case, the nonlinear behavior changes over PA power levels are modeled by combining multiple analytical functions rather than a simple complex gain defined at each discrete/quantized magnitude level. For instance, within each threshold interval, namely, at each segment, the nonlinear behavioral changes over power level are continuously modeled by the nonlinear function at that segment. This results in a significantly reduced number of thresholds, e.g., 5–20, depending on the model accuracy requirement. III. I NSTANTANEOUS S AMPLE I NDEXED MSA
i=0
+ +
M i=0 M i=1
u i,21 (n − i )e j θn−i |x(n)| ˜ u i,22 (n − i )x(n) ˜ + ···
(3)
As discussed in [19], as long as the “first-zone” constraints comply, many options can be used to construct the nonlinear functions for DPD. In Section II and [8], we demonstrated that the DVR functions can be replaced by using MSA functions. In this section, we will show how we could modify the other part of the model as well.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
Fig. 5.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6.
Generalization of cross-terms construction.
Fig. 7.
Another possibility of cross-term construction.
Cross-term construction example in the MSA model.
A. Construction of Memory and Cross-Terms To compensate for memory effects induced by a PA, memory terms, i.e., the past samples, are usually included in DPD models. For instance, in MP model, the memory terms are directly used to construct the polynomial functions, e.g., |x(n− ˜ i )|2k x(n ˜ − i ), while in DVR, the memory terms are included in the “absolute value” operation, e.g., ||x(n ˜ − i )| − βk |e j θn−i . One important consideration in the construction of memory terms is that cross-terms, namely, mixing different delay terms in the model, can help improve the model accuracy. For ˜ − i ) in the MP model is replaced example, |x(n ˜ − i )|2k x(n 2k by |x(n)| ˜ x(n ˜ − i ) in the DDR Volterra model [6]. From Fig. 4, we can see that the main nonlinear process of the MSA model occurs in the magnitude part that can be divided into two processes, coefficient selection and affine function operation. To illustrate the concept, we redraw part of Fig. 4 in Fig. 5. The two processes form a nested structure where the coefficient selection block chooses a proper set of coefficients, A and B, according to the magnitude level of the input signal x(n ˜ − i ), and then A and B are used in the affine function to generate the predistorted output. Although there are two processes, they use the same input |x(n ˜ − i )|, the output, thus, depends on x(n ˜ − i ) only, suggesting that the total process is still a one-input one-output function. This means that the first-order MSA model is only equivalent to the MP model that does not include cross-terms. In order to take into account the interactions between the past samples, namely, to include cross-terms, a different input must be added with other operations. For instance, |x(n)| ˜ can be added into the first-order model via another multiplication, as shown in Fig. 5. As mentioned earlier, the use of multiplication can lead to high complexity in DPD implementation. An alternative approach is thus desirable. B. From MSA to I-MSA In RF PAs, due to memory effects and nonlinear characteristics of the circuits, complex dynamic behaviors occur in the system. From the behavioral modeling point of view, these dynamics can be understood as the interaction between the current sample and memory samples [5]. This is why crossterms are often used in DPD models to compensate for such dynamic effects. Although multiplication is widely employed in existing models for constructing the cross-terms, it cannot be assumed that the use of multiplication is the only way to model these nonlinear interactions. Theoretically, as shown in Fig. 6, a proper dual-input nonlinear function would be able to serve for this purpose, because the main purpose for constructing the cross-terms is to model the nonlinear interaction between two different input samples. Therefore, it should be possible to replace the multiplication with other
Fig. 8. Modified hardware implementation example of the first-order I-MSA model.
more efficient operations to avoid the high-complexity hardware implementation in existing models. As demonstrated earlier, there are two processes in the magnitude process in the MSA model and each process can be treated as a nonlinear function. If we set the input differently, e.g., using |x(n)| ˜ as the input of the coefficient selection and |x(n ˜ − i )| as the input of the affine function, respectively, the overall process becomes a dual-input function. In this way, the interaction between x(n) ˜ and x(n ˜ − i ) can be taken into account directly, and thus adding |x(n)| ˜ becomes optional, as shown in Fig. 7. The implementation of the first-order MSA model is now changed to that illustrated in Fig. 8. This change enables the first-order model taking into account cross-terms directly, which significantly extends its modeling capability. In some applications, if the linearity requirement is not so stringent, the first-order model may be good enough to satisfy the performance requirement for DPD. In these cases, the model implementation complexity can be reduced because further multiplications can be avoided. If a higher accuracy is required, the model can be extended to higher orders in the same way as the original MSA model by including other nonlinear extension terms. The full model can be expressed as u(n)| ˜ I −M S A =
M
u i,1 (n − i )e j θn−i
i=0
+
M
u i,21 (n − i )e j θn−i |x(n)| ˜
i=0
+
M i=1
u i,22 (n − i )x(n) ˜ + ···
(5)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: INSTANTANEOUS SAMPLE INDEXED MSA FUNCTION-BASED BEHAVIORAL MODEL FOR DPD OF RF PAs
5
TABLE I I NPUT S AMPLES TO B UILD I-MSA M ODEL
Fig. 9.
Hardware implementation of the full I-MSA model.
where
⎧ ) 0 ≤ | x(n)| ⎪ (A |x(n ˜ − i )| + B1i, ˜ < β1 ⎪ j ⎨ 1i, j ) β ≤ | x(n)| (A | x(n ˜ − i )| + B ˜ < β2 1 u i, j (n − i ) = 2i, j 2i, j ⎪ ⎪ . . ⎩ .. .. (6)
It is noted that the selection of coefficients for the affine function now depends on the magnitude of x(n) ˜ instead of x(n ˜ − i ). This modification not only changes how the cross-terms are constructed but also changes the way in which the nonlinearity is characterized in the model. In the original representation, the nonlinearity expression is mostly determined by given memory samples while in the modified representation, its nonlinearity structure focuses on the impact of the instantaneous samples. This difference between the MSA and the new model in characterizing the source of major nonlinearity is similar to that between two popular Volterra models: the GMP and the DDR models. Generally speaking, GMP is dominated by nonlinearity caused by specific memory samples, whereas DDR emphasizes more on nonlinear effects from the instantaneous input. To distinguish the two representations, the newly proposed model is referred to as “instantaneous sample-indexed MSA model,” or abbreviated as “I-MSA model.” The implementation of the full I-MSA model is shown in Fig. 9. A common coefficients index block is shared by all nonlinear terms. Its output k is used to select the corresponding coefficients for the main MSA functions whose implementation is illustrated in Fig. 8. It is worth noting that to build the second-order terms, a multiplier can be inserted before the phase restoration to perform the required calculation without introducing additional delay in the DPD path. IV. C OMPLEXITY R EDUCTION IN M ODEL E XTRACTION As mentioned earlier, the low complexity of model parameter extraction is also crucial to the successful deployment of future DPDs since model extraction process generally involves complex matrix operations which require large hardware resource and consume considerable power. In this section, we will discuss how the model structure changes from MSA to I-MSA can help simplify the model extraction process.
A. Matrix Representation of I-MSA Model Similar to the DVR and MSA models, the I-MSA model maintains the linear-in-parameters property and thus linear optimization algorithms, e.g., LS, can be used for model extraction. To extract DPD coefficients, a set of input and output data samples from PA measurements are typically used. There are many model extraction architectures and algorithms being developed. Nevertheless, almost all of the model extraction algorithms involve vector and matrix operations. To facilitate the discussion, we express the DPD process in matrix format as follows: U N×1 = X N×Q C Q×1
(7)
where U is the output vector of DPD, X is the input matrix composed of all basis functions, and C includes all the coefficients. The subscript Q is the total number of coefficients and N is the number of samples. For simplicity, we use the first-order model as an example and set the memory length M = 1 and the number of thresholds K = 3. The model is expressed as u(n) ˜ =
1
jθ Ak,i |x(n e n−i ˜ − i )| + Bk,i
i=0 = Ak,0 x(n)+ ˜ Bk,0 e j θn + Ak,1 x(n ˜ −1)+ Bk,1 e j θn−1
(8)
are the model coefficients. As explained where Ak,i and Bk,i in the previous section, the I-MSA model selects different coefficients according to the magnitude of input samples to are, thus, calculate the output. The values of Ak,i and Bk,i chosen according to which zone |x(n)| ˜ is located, as shown in Table I. From (8), we can see that there are only four active coefficients used for calculating the output, but because there are three different zones, the total number of coefficients is 12. Though the coefficients change with the magnitude of input samples, the model still can be expressed in matrix format because the model is still linear-in-parameters. To write the I-MSA model into its matrix form, the active coefficients are multiplied with their corresponding nonlinear terms, while the inactive coefficients multiply with zero. The model can be expressed as
U = X C
(9)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
and the equations shown at the bottom of this page. The coefficient vector C can be estimated by using LS C = (X X )−1 X U . H
H
(10)
B. Simplification in Least Squares Solving (10) is straightforward but large matrix operations can be costly. Recall that in the I-MSA model, the activation of coefficients is solely determined by the magnitude of the instantaneous input. This means that the coefficients are the same for the input samples that fall in the same zone. Therefore, if we group the input samples that fall in the same zone, the same coefficients will be activated across all samples while the rest are not relevant. For instance, if we rearrange the sequence of the samples in (9) according to their magnitude zones, the model can be represented as U = X C .
(11)
and the equations shown at the bottom of the next page. Because the zeros appear in X in a regular pattern, the large matrix operation in (11) can be broken into three smaller operations as follows: ⎡ ⎤ ⎤ A ⎡ ⎤ ⎡ ˜ e j θ8 ⎢ 1,0 x(9) ˜ e j θ9 x(8) u˜ (9) ⎥ B1,0 ⎥ ⎣ u˜ (6) ⎦ = ⎣ x(6) ˜ e j θ6 x(5) ˜ e j θ5 ⎦ ⎢ ⎣ A1,1 ⎦ u˜ (1) x(1) ˜ e j θ1 x(0) ˜ e j θ0 B1,1 ⎡ ⎤ ⎤ A ⎡ ⎤ ⎡ ˜ e j θ6 ⎢ 2,0 x(7) ˜ e j θ7 x(6) u˜ (7) ⎥ B2,0 ⎥ ⎣ u˜ (5) ⎦ = ⎣ x(5) ˜ e j θ5 x(4) ˜ e j θ4 ⎦ ⎢ ⎣A ⎦ j θ j θ 2,1 2 1 u˜ (2) x(2) ˜ e x(1) ˜ e B2,1 ⎡ ⎤ ⎡ ⎤ ⎡ ⎤ A ˜ e j θ7 ⎢ 3,0 x(8) ˜ e j θ8 x(7) u˜ (8) ⎥ B3,0 ⎥ ⎣ u˜ (4) ⎦ = ⎣ x(4) ˜ e j θ4 x(3) ˜ e j θ3 ⎦ ⎢ ⎣ A ⎦. j θ j θ 3,1 3 2 u˜ (3) x(3) ˜ e x(2) ˜ e B3,1 To generalize this property, the matrix representation of DPD in (7) can be decomposed into a submatrix representation ⎧ ⎪ ⎨ U1 = X 1 C 1 (12) U2 = X2 C2 ⎪ ⎩ ··· ⎡
where Uk , Xk and Ck represent the DPD output vector, the basis functions matrix built by using input samples, and the active coefficients vector in Zone k, respectively. The model extraction can then be performed on different groups of coefficients separately. The inversion and matrix multiplication can then be processed with smaller submatrices ⎧ H −1 H ⎪ ⎨C1 = X1 X1 X1 U1 −1 (13) C2 = X2H X2 X2H U2 ⎪ ⎩ ··· Solving a large-scale LS problem is thus reduced to the calculation of multiple small-scale LS solutions. Since the complexity in LS is proportional to Q 2 N, the simplified solution can reduce the computational complexity by a factor of K 2 , assuming the number of samples used is the same, as derived in the following equation: K
(Q/K )2 Nk = Q 2 N/K 2
(14)
k=1
where Nk is the number of samples in Zone k, which satisfies k Nk = N. Hardware complexity is greatly reduced because of the smaller size of matrices. C. Simplification in SPSA As mentioned in Section I, SPSA-based model extraction method has shown great potential in reducing the complexity of model parameter extraction compared with the conventional LS methods [13], [14]. The SPSA algorithm uses measurements of the loss function with a random perturbation on the model coefficients to determine the coefficient updating direction and converge toward the optimum solution without involving resource-intensive matrix operations. It was shown in [13] that after a sufficient number of iterations, a modified SPSA algorithm can achieve accuracy comparable to the existing LS solutions with over 98% reduction in computational complexity. The modified quadratic SPSA is operated as follows: in each iteration, e.g., the j th iteration, a perturbation direction vector j is randomly generated and then applied to the existing coefficients vector C j to form two new coefficients vectors C−j = C j − j and C+j = C j + j . The loss function output,
0 0 0 0 x(8) ˜ e j θ8 0 0 x(9) ˜ e j θ9 j θ 8 ⎢ 0 0 0 0 0 0 0 0 x(8) ˜ e ⎢ j θ7 j θ6 ⎢ 0 0 x(7) ˜ e 0 0 0 0 x(6) ˜ e ⎢ j θ j θ 6 ⎢ x(6) 5 0 0 0 0 x(5) ˜ e 0 0 e ⎢˜ j θ5 j θ4 X = ⎢ 0 0 0 0 x(4) ˜ e 0 0 x(5) ˜ e ⎢ j θ ⎢ 0 4 0 0 0 0 0 0 0 x(4) ˜ e ⎢ j θ3 ⎢ 0 0 0 0 x(3) ˜ e 0 0 0 0 ⎢ ⎣ 0 0 x(2) ˜ e j θ2 0 0 0 0 x(1) ˜ e j θ1 0 0 0 0 x(0) ˜ e j θ0 0 0 x(1) ˜ e j θ1 T U = u˜ (9), u˜ (8), u˜ (7), u˜ (6), u˜ (5), u˜ (4), u˜ (3), u˜ (2), u˜ (1) T C = A1,0 , B1,0 , A2,0 , B2,0 , A3,0 , B3,0 , A1,1 , B1,1 , A2,1 , B2,1 , A3,1 , B3,1
0 x(7) ˜ 0 0 0 x(3) ˜ x(2) ˜ 0 0
0
⎤
e j θ7 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ e j θ3 ⎥ ⎥ e j θ2 ⎥ ⎥ 0 ⎦ 0
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: INSTANTANEOUS SAMPLE INDEXED MSA FUNCTION-BASED BEHAVIORAL MODEL FOR DPD OF RF PAs
7
e.g., normalized mean-squared error (NMSE) or residual sum of squares (RSS), represented by L(C j ), L(C−j ), and L(C+j ), is calculated with each coefficients set C j , C−j and C+j , respectively. The coefficients vector is then updated by using
where
C j +1 = C j − λ j j
(15)
L C+j − L C−j 1 λ j = · + . 2 L C j + L C−j − 2L(C j )
(16)
By avoiding the costly matrix operations, e.g., matrix multiplication and inversion, the quadratic SPSA algorithm achieves a significant reduction in hardware complexity. The main drawback of the quadratic SPSA method is that it requires running a larger number of iterations to reach the same accuracy as LS. As shown earlier, for the I-MSA model, the parameter extraction of different zones is independent. Therefore, the coefficients that belong to different zones can actually be processed separately. To accelerate the convergence of the SPSA algorithm, a parallel perturbation technique can be applied. In this method, both the coefficient vector C j and the perturbation direction vector j are decomposed into K subvectors ⎤ ⎡ C1, j ⎢ C2, j ⎥ ⎥ ⎢ Cj = ⎢ . ⎥ ⎣ .. ⎦ ⎡
CK , j
1, j ⎢ 2, j ⎢ j = ⎢ . ⎣ ..
Fig. 10.
Illustration of loss function calculation with parallel perturbation.
− and L(Ck, j ), L(C+ k, j ), and L(Ck, j ) are loss functions calculated using samples in Zone k only, as illustrated in Fig. 10. K independent SPSA updates can thus be conducted in one iteration without increasing the complexity, indicating K times improvement in the convergence speed. Another explanation for the acceleration of convergence is the reduced size of the optimization problem. Since different zones are treated independently, each SPSA session only needs to optimize Q/K coefficients. In stochastic optimization methods, the decreased number of coefficients will naturally lead to faster convergence. Therefore, in the same way as LS, the effective number of coefficients determining parameter extraction complexity and convergence speed is reduced by K .
⎤
V. R ESULTS
⎥ ⎥ ⎥. ⎦
In this section, the performance of the proposed I-MSA model and relevant parameter extraction methods are presented. Simulation results of the I-MSA model and parallel perturbation are given first, before the experimental verification of the I-MSA model using a full RF test bench. Finally, the complexity analysis of model implementation and parameter extraction are presented.
K , j
Coefficients of different zones are then perturbed and updated independently by Ck, j +1 = Ck, j − λk, j k, j
(17) A. Simulation
where
λk, j =
− L C+ 1 k, j − L Ck, j − · + 2 L Ck, j + L Ck, j − 2L(Ck, j )
⎡
(18)
To confirm the accuracy of the proposed I-MSA model, the model performance was first evaluated in a forward PA modeling scenario. The training data consisted
˜ e j θ8 0 0 0 0 0 0 x(9) ˜ e j θ9 x(8) j θ j θ5 6 ⎢ x(6) x(5) ˜ e 0 0 0 0 0 0 ˜ e ⎢ j θ1 j θ0 ⎢ x(1) ˜ e x(0) ˜ e 0 0 0 0 0 0 ⎢ j θ7 j θ6 ⎢ 0 x(6) ˜ e 0 0 0 0 0 x(7) ˜ e ⎢ j θ5 j θ4 X = ⎢ x(4) ˜ e 0 0 0 0 0 0 x(5) ˜ e ⎢ j θ2 j θ1 ⎢ 0 x(1) ˜ e 0 0 0 0 0 x(2) ˜ e ⎢ j θ8 ⎢ 0 0 0 0 0 0 0 0 x(8) ˜ e ⎢ j ⎣ 0 0 0 0 0 0 0 0 x(4) ˜ e θ4 0 0 0 0 0 0 0 0 x(3) ˜ e j θ3 T U = u˜ (9), u˜ (6), u˜ (1), u˜ (7), u˜ (5), u˜ (2), u˜ (8), u˜ (4), u˜ (3) T C = A1,0 , B1,0 , A1,1 , B1,1 , A2,0 , B2,0 , A2,1 , B2,1 , A3,0 , B3,0 , A3,1 , B3,1
0 0 0 0 0 0 x(7) ˜ x(3) ˜ x(2) ˜
0 0 0 0 0 0
⎤
⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ j θ 7 e ⎥ ⎥ e j θ3 ⎦
e j θ2
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II F ORWARD M ODELING P ERFORMANCE OF DVR AND MSA M ODELS
of 15 000 input–output samples captured from an LDMOS Doherty PA operated at 37 dBm and driven by a 20-MHz LTE signal. The DVR model in (1), the MSA model in (3), and the I-MSA model in (5) served as the behavioral models. All models set M = 2 and K = 7 for a fair comparison. For first˜ )|−βk |e j θn−i and its equivalent order models, only c˜ki,1 ||x(n−i forms were used. In second-order models, the second-order j θn−i was added. Recall type-I term c˜ki,21 ||x(n−i ˜ )|−βk ||x(n)|e ˜ that the DVR and original MSA model could only introduce cross-terms via additional multiplication, which indicated the use of second-order basis functions. Thus, the first-order DVR and MSA model did not have cross-terms, while the firstorder I-MSA model and the second-order models all included cross-terms. The simulation results are listed in Table II. It is shown that the first-order I-MSA model achieves comparable modeling performance with the second-order models, which is 2.8 dB better than other first-order models. The performance improvement clearly justifies the new cross-term representation employed in the I-MSA model. A different simulation setup was used to verify the performance of the parallel perturbation technique proposed to accelerate the convergence of the SPSA algorithm. A full DPD simulation was performed where a DDR Volterra model extracted from the same training data as the previous case was used to represent the PA, and a first-order I-MSA model with K = 7 and M = 2 was used for DPD. To emulate the behavior of real DPD systems, we avoided reusing the same input data for every iteration. For this purpose, 200 batches of input data, i.e., 1 000 000 input samples, were used in the simulation. Since the convergence of descent-based methods depends on the conditioning number of the input matrix X, we normalized all the basis functions of the DPD model by their root mean square (rms) value before training to make all the basis functions properly conditioned. The performance of LS-based direct learning served as a reference for comparison. Simulation results are reported in Fig. 11. By employing the parallel perturbation technique, the parallel perturbation SPSA algorithm achieved the same linearization performance as LS after 800 iterations, significantly outperforming the standard quadratic SPSA in [14], in terms of convergence speed. B. Experimental Test The performance of the I-MSA model was subsequently evaluated by experimental tests on a full RF test bench. The test setup was the same as that used in [20], including a PC, a baseband FPGA board, an RF board, and a PA, as shown in Fig. 12. The input signal was generated in MATLAB
Fig. 11.
Model extraction convergence comparison.
Fig. 12.
Experimental test bench setup.
running on the PC before it was passed to the baseband and RF board for modulation and upconversion and finally sent to the PA. At the PA output, the signal was attenuated and then downconverted to baseband in a feedback receiver before the baseband signal processing in baseband board and further time alignment and coefficient extraction at PC. A GaN Doherty PA operating at 2.14 GHz was used as a device under test. The PA was excited by a 40-MHz 8-carrier UMTS signal with 6.5-dB peak-to-average power ratio and produced a 36-dBm average output power. In every DPD iteration, approximately 16 000 in-phase and quadrature (I/Q) samples at a sampling rate of 368.64 Msamples per second were predistorted, transmitted, and finally captured. The coefficients were extracted using LS and indirect learning. The DVR model, MSA model, and I-MSA model were all tested using the same experimental setup and test signal. For fair comparison, all the models employ the same configuration which sets K = 7, M = 4, and include only first-order terms. The performance of all the three models is summarized in Table III in terms of adjacent channel power ratio (ACPR) and NMSE.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: INSTANTANEOUS SAMPLE INDEXED MSA FUNCTION-BASED BEHAVIORAL MODEL FOR DPD OF RF PAs
9
TABLE III P ERFORMANCE C OMPARISON OF F IRST-O RDER M ODELS
TABLE IV P ERFORMANCE OF M ODELS W ITH C ROSS -T ERMS
The I-MSA model is shown to achieve the best performance among all three models, i.e., approximately 2.5 dB better NMSE and 4 dB better ACPR than the other two models on average. Comparison with conventional Volterra seriesbased models is not shown in this paper, as a thorough comparison between Volterra and DVR models was already established in [7], which suggests the DVR model has superior performance. In order to further demonstrate the performance of the proposed I-MSA model and validate our conclusion on crossterm construction, additional tests were performed. As clarified in Section III, the performance boost of the I-MSA model is rooted in the introduction of cross-terms. We therefore manually add cross-terms to all models by using secondj θn−i . It is ˜ order basis in the form of ||x(n ˜ − i )| − βk ||x(n)|e shown in Table IV that all model configurations achieve similar performance, suggesting that the first-order I-MSA model already includes the feature of high-order models, i.e., crossterms. Therefore, use of the first-order I-MSA model may lead to an efficient DPD solution with little compromise in performance, making it a very attractive option for future hardware constrained DPD deployment, e.g., in small cell 5G base stations. The spectra results illustrated in Fig. 13 highlight the model performance in terms of ACPR. Performance of the I-MSA model is ultimately confirmed in Fig. 14 by comparing the AM/AM and AM/PM characteristics of the output signal with and without DPD.
Fig. 13.
Output spectra comparison for 40-MHz 8-carrier UMTS signal.
Fig. 14.
AM/AM and AM/PM plots with and without I-MSA DPD. TABLE V DPD C OMPLEXITY C OMPARISON
C. Complexity Comparison and Analysis The complexity of the DPD model construction of the models is shown in Table V, which is mainly measured by the required number of real multipliers, as the multipliers take up most of the hardware resources. As discussed in previous sections, the first-order I-MSA model can achieve similar performance as the second-order MSA model, leaving the first-order MSA/DVR model far behind. It is thus reasonable to employ only the first-order basis in I-MSA models. The following comparison is, therefore, drawn between the
first-order I-MSA and the second-order MSA/DVR models. Other parameters are set as K = 7 and M = 2. After extracting the coefficients, the DPD block of DVR, MSA, and I-MSA models was implemented on an FPGA. The FPGA board employed for DPD hardware implementation was Virtex-7 XC7VX485T, whose operating clock frequency was set to 260 MHz. In the CORDIC block, the dual-direction CORDIC (DD-CORDIC) algorithm [16] was used, resulting
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE VI FPGA I MPLEMENTATION C OMPARISON
MSA function has been proposed. The reformulation of model structure not only improves model performance and lowers implementation cost but also reduces the complexity in model extraction. The performance of the proposed model has been verified by both simulation and experimental results. The lowcost hardware implementation and high linearization performance make the proposed I-MSA model an ideal candidate for implementing cost-effective DPD solutions in the future wireless systems. R EFERENCES
TABLE VII PARAMETER E XTRACTION C OMPLEXITY C OMPARISON
in 520-MHz clock in the CORDIC multiplexers. To satisfy the desired accuracy, the rotation number of DD-CORDIC was 9. Each I/Q sample of both input and output was kept as 32-bit data, where real and imaginary part each had 16 bits. The bit precision in the intermediate process, such as adders, multiplexers, and configuration of DSP48 units, remained the same for all models. The FPGA resource utilization is reported in Table VI. It is shown that the I-MSA model only consumes around 50% DSP units of the MSA model and 7% of the DVR model, leading to significant cost and power reduction in real-world applications. The hardware complexity of parameter extraction is reported in Table VII by measuring the required number of real multiplication operations. Even with the same number of coefficients, the I-MSA model achieves more than 97% complexity reduction in LS-based parameter extraction by employing the proposed simplification technique. Though some dedicated algorithms for sparse matrix computation may help reduce the complexity of the MSA model extraction, it is difficult, if not impossible, to achieve similar level of acceleration considering the overhead of those algorithms. It is also worth noting that the complexity to extract coefficients of the I-MSA model only increases marginally with increasing value of K , which suggests that more dramatical reduction is possible with a larger K . VI. C ONCLUSION To address the low complexity requirement of future DPD systems, a new behavioral model featuring a modified
[1] L. Guan and A. Zhu, “Green communications: Digital predistortion for wideband RF power amplifiers,” IEEE Microw. Mag., vol. 15, no. 7, pp. 84–99, Nov./Dec. 2014. [2] F.-L. Luo, Digital Front-End in Wireless Communications and Broadcasting: Circuits and Signal Processing. Cambridge, U.K.: Cambridge Univ. Press, 2011. [3] D. Muirhead, M. A. Imran, and K. Arshad, “Insights and approaches for low-complexity 5G small-cell base-station design for indoor dense networks,” IEEE Access, vol. 3, pp. 1562–1572, Aug. 2015. [4] L. Ding et al., “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [5] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [6] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [7] A. Zhu, “Decomposed vector rotation-based behavioral modeling for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 737–744, Feb. 2015. [8] W. Cao, Y. Li, and A. Zhu, “Magnitude-selective affine function based digital predistorter for RF power amplifiers in 5G small-cell transmitters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1539–1541. [9] R. N. Braithwaite, “A comparison of indirect learning and closed loop estimators used in digital predistortion of power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–4. [10] L. Guan and A. Zhu, “Optimized low-complexity implementation of least squares based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 594–603, Mar. 2012. [11] Z. Wang, W. Chen, G. Su, F. M. Ghannouchi, Z. Feng, and Y. Liu, “Low computational complexity digital predistortion based on direct learning with covariance matrix,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4274–4284, Nov. 2017. [12] A. Abdelhafiz, L. Behjat, F. M. Ghannouchi, M. Helaoui, and O. Hammi, “A high-performance complexity reduced behavioral model and digital predistorter for MIMO systems with crosstalk,” IEEE Trans. Commun., vol. 64, no. 5, pp. 1996–2004, May 2016. [13] N. Kelly and A. Zhu, “Low-complexity stochastic optimization-based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1373–1382, May 2016. [14] N. Kelly and A. Zhu, “Direct error-searching SPSA-based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 3, pp. 1512–1523, Mar. 2018. [15] L. O. Chua and A.-C. Deng, “Canonical piecewise-linear representation,” IEEE Trans. Circuits Syst., vol. CAS-35, no. 1, pp. 101–111, Jan. 1988. [16] W. Cao and A. Zhu, “A modified decomposed vector rotation-based behavioral model with efficient hardware implementation for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 7, pp. 2443–2452, Jul. 2017. [17] P. L. Gilabert, A. Cesari, G. Montoro, E. Bertran, and J.-M. Dilhac, “Multi-lookup table FPGA implementation of an adaptive digital predistorter for linearizing RF power amplifiers with memory effects,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 372–384, Feb. 2008. [18] A. S. Wright, B. T. W. Klijsen, P. V. Yee, C. Y. K. Hung, and S. J. Bennett, “Wideband digital predistortion linearizer for nonlinear amplifiers,” U.S. Patent 6 798 843 B1, Sep. 28, 2004.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LI et al.: INSTANTANEOUS SAMPLE INDEXED MSA FUNCTION-BASED BEHAVIORAL MODEL FOR DPD OF RF PAs
[19] E. G. Lima, T. R. Cunha, and J. C. Pedro, “A physically meaningful neural network behavioral model for wireless transmitters exhibiting PM–AM/PM–PM distortions,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3512–3521, Dec. 2011. [20] L. Guan, R. Kearney, C. Yu, and A. Zhu, “High-performance digital predistortion test platform development for wideband RF power amplifiers,” Int. J. Microw. Wireless Technol., vol. 5, no. 2, pp. 149–162, 2013.
Yue Li (S’17) received the B.E. degree in information engineering from Southeast University, Nanjing, China, in 2016. He is currently pursuing the Ph.D. degree at University College Dublin, Dublin, Ireland. He is currently with the RF and Microwave Research Group, University College Dublin. His current research interests include behavioral modeling and digital predistortion for RF power amplifiers.
11
Wenhui Cao (S’15) received the B.E. degree in automation from the Beijing University of Chemical Technology, Beijing, China, in 2013, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2017. From 2013 to 2017, she was with the RF and Microwave Research Group, UCD. She is currently a Lecturer in the School of Electronics and Information, Hangzhou Dianzi University, Hangzhou, China. Her current research interests include nonlinear behavioral modeling and linearization of RF power amplifiers, digital postcorrection of high speed ADCs, digital suppression of TX-induced interference in FDD transceiver, and high-performance fieldprogrammable gate-array (FPGA) implementation methodologies.
Anding Zhu (S’00–M’04–SM’12) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Professor with the School of Electrical and Electronic Engineering, UCD. His current research interests include high-frequency nonlinear system modeling, device characterization techniques with a particular emphasis on behavioral modeling and linearization of RF power amplifiers for wireless communications, high-efficiency power amplifier design, wireless transmitter architectures, digital signal processing, and nonlinear system identification algorithms.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
5011
Composite Neural Network Digital Predistortion Model for Joint Mitigation of Crosstalk, I /Q Imbalance, Nonlinearity in MIMO Transmitters Praveen Jaraut , Student Member, IEEE, Meenakshi Rawat , Member, IEEE, and Fadhel M. Ghannouchi, Fellow, IEEE
Abstract— Multi-input multi-output (MIMO) is anticipated to be a prominent technique proposed in the wireless communications to improve the system capacity and data rates of the wireless networks. However, the MIMO transmitter suffers from imperfections, such as crosstalk, power-amplifier (PA) nonlinearity, in-phase and quadrature (I/ Q) imbalance, and dc offset. Investigating these effects, this paper proposes neural network (NN)-based digital predistortion (DPD) as an integral solution to compensate for crosstalk, PA nonlinearity, I/ Q imbalance, and dc offset imperfections simultaneously in MIMO transmitters. The proposed NN DPD model provides a one-step single-model digital mitigation solution to multibranches of MIMO transmitters. With the increase in the dimensions of MIMO transmitter, the proposed NN-based DPD model provides a better compensation for transmitter imperfections and also reduces the complexity as compared to the state-of-the-art DPD methods. The proof-of-concept is provided with the 2×2 and 3×3 MIMO transmitters in the presence of strong PA nonlinearity, crosstalk, I/Q imbalance, and dc offset for homodyne as well as heterodyne transmitters’ cases. Index Terms— Crosstalk, dc offset, digital predistortion (DPD), in-phase and quadrature (I/ Q) imbalance, linearization, multiinput multi-output (MIMO) transmitter, power amplifier (PA) nonlinearity.
I. I NTRODUCTION
T
HE upcoming 5G wireless communication system will provide enhanced services to an ever increasing number of users within a confined radio frequency (RF) spectrum
Manuscript received February 26, 2018; revised June 30, 2018; accepted August 29, 2018. Date of publication September 28, 2018; date of current version November 5, 2018. This work was supported in part by the Defense Research and Development Organization, India, under Grant ERIP/ER/1400477/M/01, in part by the Science and Engineering Research Board, India, under Grant EMR/2016/001310, and in part by the Council of Scientific and Industrial Research, India. (Corresponding author: Praveen Jaraut.) P. Jaraut is with the Department of Electronics and Communication Engineering, IIT Roorkee, Roorkee 247667, India, and also with the Intelligent RF Radio Laboratory (iRadio Lab), University of Calgary, Calgary, AB T2N 1N4, Canada (e-mail:
[email protected]). M. Rawat is with the Department of Electronics and Communication Engineering, IIT Roorkee, Roorkee 247667, India (e-mail: meenakshirawat@ ieee.org). F. M. Ghannouchi is with the Intelligent RF Radio Laboratory (iRadio Lab), University of Calgary, Calgary, AB T2N 1N4, Canada, and also with the Department of Electronic Engineering, Tsinghua University, Beijing 100084, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2869602
at improved capacity and increased data rate [1]. In order to meet these ambitious requirements, the multiple-input multiple-output (MIMO) topology-based transceivers are being perceived as a viable solution. MIMO streams can increase the data rate or system capacity as many times as the number of branches of MIMO transceivers [2]. However, at the transmitter side, crosstalk may occur between the RF branches, when they are placed on the same chip [3]. This adds to the signal distortion, which is already generated by the nonlinearity of the RF power amplifiers (PAs). In addition, there may be distortion components such as impairments due to the local oscillator (LO) leakage and in-phase and quadrature (I /Q) imbalance in quadrature modulators within a practical MIMO transmitter. These nonlinearities and impairments need to be compensated for distortion-free signal transmission. Digital predistortion (DPD) is an established technique to linearize PAs [4]–[6], which is compatible with the concept of software-defined-radios and utilizes already available digital signal processor in a base station. There are various DPD models such as Volterra-Series [4], memory polynomial (MP) [5], and generalized MP [6] available for the linearization of PA nonlinearities in a single-input single-output (SISO) transmitter. There are few DPD models proposed in [7]–[13] to jointly compensate I /Q imbalance and PA nonlinearities in SISO transmitter. In the case of MIMO transmitters, a crossover MP model (COMPM) has been proposed in [14] to compensate for crosstalk and PA nonlinearity. There have been a few more models presented for the compensation of crosstalk and PA nonlinearities in MIMO transmitters [15]–[21]. Recently, in [22], a DPD model is presented for the joint mitigation of I /Q imbalance, dc offset, crosstalk, and PA nonlinearity in the MIMO transmitters. The drawbacks of such DPD model is that it requires extraction of coefficients and inverse modeling of each transmitter paths, i.e., for P × P MIMO, the coefficient extraction and inverse modeling are performed P times. As this model requires high number of coefficients, extracting coefficients P times in massive MIMO would result in a high coefficients extraction burden. Therefore, complexity is one of the main concerns in DPD solutions for MIMO transmitters. This paper proposes a neural network (NN)-based DPD model, which can perform inverse modeling for P transmitters of MIMO with a single DPD block. The NN-based DPD model will provide ease of adaptability for multidimensions
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
5012
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
where a p = cos(θ p /2) + j α p sin(θ p /2) b p = α p cos(θ p /2) + j sin(θ p /2).
(2) (3)
In (2) and (3), α p and θ p represent the pth transmitter path’s gain imbalance and phase imbalance, respectively. For a balanced modulator, α p = 1 and θ p = 0°. I /Q imbalance can be represented by a metric known as image rejection ratio (IRR) [22]. It is defined as p (dB) = 20 log10 (b p /a p ).
(4)
Generally, IRR in RF transmitters ranges from −20 to −40 dB [22]. Fig. 1.
Block diagram of MIMO transmitters.
C. Existing DPD Models for MIMO Transmitter
of MIMO transmitters, e.g., a single NN can work for 2 × 2 MIMO as well as for P × P MIMO instead of P different DPD blocks. Recent implementations of NN networks in the field programmable logic arrays (FPGA) also support the feasibility of such a solution [23]. This paper is organized as follows. Section II describes the impairments present in MIMO transmitters and existing DPD algorithms for MIMO transmitters. Section III proposes the NN-based DPD model for the compensation of imperfections. Section IV describes the measurement and control setup used for DPD. Section V presents the results of various DPD models for MIMO transmitter. Section VI presents the conclusion. II. MIMO T RANSMITTER Direct-conversion transmitter is known to suffer ill effects of gain imbalance, phase imbalance, and LO leakage on the transmitted signal. Fig. 1 shows the block diagram of MIMO transmitters. In MIMO transmitters, signals are transmitted at the same carrier frequency in different transmitters’ paths. Therefore, if transmitters are not calibrated individually, the effect of above-mentioned impairments magnifies when the signal is distorted due to PA nonlinearity and multibranch crosstalk. A. Crosstalk Crosstalk is induced due to the coupling effects between different transmitters’ paths or leakage through the common LO. Crosstalk can be categorized as linear and nonlinear. Linear crosstalk occurs after the PA and can be removed at the receiver. Nonlinear crosstalk occurs before PA. Generally, MIMO crosstalk has a coupling factor between −15 to −30 dB [17]. B. I/Q Imbalance In an MIMO transmitter, I /Q imbalance occurs due to a mismatch between the in-phase (I ) and quadrature-phase (Q) signal paths in the modulator. For P × P MIMO transmitters, let x p (n) denote the pth transmitter path baseband input signal, where p = 1, 2, …, P. Due to I /Q imbalance, the signal at the output of quadrature modulator is xˆ p (n) = a p x p (n) + b p x ∗p (n)
(1)
1) Crossover Memory Polynomial Model: In this model [14], the output of each transmitter path is a linear sum of MP functions of input signals. The output of a first transmitter path in 3 × 3 MIMO using this model is y1 (n) =
M K −1
(1) cm,k · x 1 (n − m) · |x 1 (n − m)|k
m=0 k=0 M K −1
+ +
m=0 k=0 M K −1
(1) dm,k · x 2 (n − m) · |x 2 (n − m)|k (1) em,k · x 3 (n − m) · |x 3 (n − m)|k
(5)
m=0 k=0
where M is the memory depth, x 1 (n), x 2 (n) and x 3 (n) are the baseband modulated input signals of different transmitter’s (1) (1) (1) , dm,k , and em,k are the coefficients, and K is the path, cm,k nonlinearity order of the COMP model. The second and third outputs of the 3 × 3 MIMO transmitter can be obtained easily using a similar expression as in (5). 2) Parallel Hammerstein Model: In this model [16], the output of the first transmitter path in 3×3 MIMO using this model is y1 (n) = f (x 1 (n), x 2 (n), x 3 (n)) j −1 M K k (1) = cm,k, j,i · x 1 (n − m) m=0 k=0 j =0 i=0 · |x 1 (n − m)|k− j |x 2 (n − m)| j −i |x 3 (n − m)|i j −1 M K k (1) + dm,k, j,i · x 2 (n − m) m=0 k=0 j =0 i=0 · |x 1 (n − m)|k− j |x 2 (n − m)| j −i |x 3 (n − m)|i j −1 M K k (1) + em,k, j,i · x 3 (n − m) m=0 k=0 j =0 i=0 · |x 1 (n − m)|k− j |x 2 (n − m)| j −i |x 3 (n − m)|i (1) cm,k, j,i ,
(1) dm,k, j,i ,
(1) em,k, j,i
(6)
where and are the coefficients of parallel Hammerstein (PH) model. The PH model has been shown to have a better linearization performance than COMP model in the presence of crosstalk, but requires a large of coefficients [17].
JARAUT et al.: COMPOSITE NN DPD MODEL
5013
COMP and PH models linearize PA and remove crosstalk. However, both these models are insufficient to mitigate the transmitter imperfections due to I /Q imbalance and dc offset. D. Augmented Complex Conjugate Parallel Hammerstein Model In [10], complex conjugate function and a dc term were added to compensate for the I /Q imbalance and dc offset in SISO. Similarly, the complex conjugate function of the PH model and a dc term can be added to the PH model to compensate for the I /Q imbalance and dc offset in addition to the PA nonlinearity and crosstalk. The output of this model for the first branch of the MIMO transmitter is as follows: y1 (n) = f (x 1 (n), x 2 (n), x 3 (n))+ f x 1∗ (n), x 2∗ (n), x 3∗ (n) +c (7) where f (x 1 (n), x 2 (n), x 3 (n)) is a function as defined in (6). This model linearizes PA and mitigates crosstalk, I /Q imbalance, and dc offset. The drawback of this model is that it requires extraction of coefficients and inverse modeling of each transmitter paths, i.e., for P × P MIMO, the coefficient extraction and inverse modeling are performed P times. This polynomial-based model requires high number of coefficients, and extracting coefficients P times in massive MIMO would result in high coefficients extraction burden. III. P ROPOSED N EURAL N ETWORK -BASED DPD M ODEL Fig. 2 shows the real-valued time-delay NN [24]–[27]. The input vector contains present and past values of I and Q. The input vector is defined as X = [I1 (n), . . . , I1 (n − m), Q 1 (n), . . . , Q 1 (n − m) I2 (n), . . . , I2 (n − m), Q 2 (n), . . . , Q 2 (n − m) · · · , I P (n), . . . , I P (n − m), Q P (n), . . . , Q P (n − m)]
Fig. 2.
Real-valued time-delay feedforward backpropagation-based NN.
the previous layer and bl+1 denotes bias of the j th neuron in j the l + 1th layer. The output of neuron j at l + 1th layer is . (10) = f netl+1 ol+1 j j The hidden layers have the hyperbolic tangent function, as the activation function f . It maps the nonlinearity between −1 and 1. The output of any layer works as an input to the next layer. The outputs of hidden neurons are linearly summed up at the output layer. B. Backward Propagation During backward propagation, the performance index for the NN is calculated as V =
(8)
N 1 [[Iout1 (n)− Iˆout1 (n)]2 +[Q out1 (n) − Qˆ out1 (n)]2 ] 2N
+
where I P (n) and Q P (n) are the I and Q components of baseband input signal of Pth transmitter branch at nth time instant. z −1 represents the unit delay operator. The feedforward backpropagation NN is used.
n=1 N
1 2N
[[Iout2 (n) − Iˆout2 (n)]2
n=1
+ [Q out2 (n) − Qˆ out2 (n)]2 ] N 1 ···+ [[IoutP (n) − IˆoutP (n)]2 2N n=1
+ [Q outP (n) − Qˆ outP (n)]2 ]
A. Feedforward Propagation During feedforward computation, data propagate from neurons of a lower layer to upper layer. As shown in Fig. 2, two hidden layers are used in this NN. The net input in layer l + 1 is given as netl+1 j
=
q
l+1 l wl+1 j i oi + b j
(9)
i=1
where wl+1 j i represents the synaptic weight between the i th input from the previous layer to the j th neuron of the present layer. Initially, weights are set in the interval of [−0.8, 0.8], and during backward propagation, weights are adjusted to reduce the error. q represents the total number of neurons in
1 T en en 2 N
=
(11)
n=1
where e is the error, IoutP (n) and Q outP (n) are the I and Q components of the actual baseband outputs of Pth transmitter branch of MIMO, IˆoutP (n) and Qˆ outP (n) are the I and Q components of the outputs from output-layer neurons of the NN model. Then the Levenberg–Marquardt algorithm [25] is used, which is an approximation to the Gauss–Newton’s method. According to this algorithm, the parameter V is minimized with respect to a parameter u which depends on synaptic weights and biases. During backward propagation, u is
5014
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
TABLE I
updated as uk+1 = uk − [JT J + μI]−1 JT e where 1 1 1 1 2 u = w11 · · · wq.2 P(M+1) b1 · · · bq w11 2 2 3 · · · wrq b1 · · · br2 w11 · · · w23 P.r b13 · · · b23 P
(12)
C OMPLEXITY C OMPARISON B ETWEEN D IFFERENT DPD M ODELS IN MIMO T RANSMITTERS
(13)
where J is the Jacobian matrix calculated over error matrix e with respect to u. q and r are the number of neurons of two hidden layers. M and P are the memory depth and number of transmitter’s branches in MIMO. Whenever V increases, μ is multiplied by some factor β. Whenever V decreases, μ is divided by β. Initially μ and β are set equal to 0.01 and 10, respectively. The whole procedure is iterated until the good performance is achieved by NN. The calculation of the Jacobian matrix is shown in the Appendix. C. Coefficient Complexity Comparison Between DPD Models In conventional DPD models, such as COMPM, PH, and ACC-PH models, the least square algorithm is applied to the observation (predistorter) matrix for the calculation of the model’s coefficients. To implement least square extraction, the pseudoinverse method is used. Let y = XA
(14)
where y is a N × 1 vector representing the N samples of the output signal, A is a vector of coefficients, and X is an observation (predistorter) matrix and its size is N ×(No. of Coeff.). Then, using the pseudoinverse method, A is calculated as A = (X H X)−1 X H y.
(15)
In NN, Levenberg–Marquardt algorithm [25] also uses least square algorithm to the batch training of multilayer perceptrons. According to this algorithm, u is updated as in (12). The size of the Jacobian matrix depends on N × (No. of Weights and Biases). It is defined as ⎤ ⎡ ∂e(1) ∂e(1) ∂e(1) ⎢ ∂w11 ∂w21 · · · ∂b2 P ⎥ ⎥ ⎢ ⎢ ∂e(2) ∂e(2) ∂e(2) ⎥ ⎥ ⎢ ··· ⎢ ∂b2 P ⎥ (16) J = ⎢ ∂w11 ∂w21 ⎥. ⎢ . .. .. ⎥ ⎥ ⎢ .. . ··· . ⎥ ⎢ ⎣ ∂e(N) ∂e(N) ∂e(N) ⎦ ··· ∂w11 ∂w21 ∂b2 P In the conventional DPD models, inverse of X H X is calculated, whereas in NN model, inverse of JT J + μI is calculated. Thus, the complexity of model extraction depends directly on the size of matrix X and J, i.e., the number of coefficients/number of weights and biases. Table I shows the comparison between the number of weights and biases/number of coefficients of different DPD models. The number of weights in an NN is 2P(M + 1)q + rq + 2Pr, where P is the number of MIMO’s transmitter branches. The number of biases in an NN is q + r + 2P. The total number of weights and biases in an NN are
Fig. 3. (a) Block diagram and (b) photograph of measurement and control setup used for the MIMO transmitter.
2P(M +1)q +rq+2Pr+q +r +2P. The number of coefficients required by the COMP model for a single transmitter branch in P × P MIMO is P(M + 1)K . Thus, the total number of coefficients required by the COMP model in P × P MIMO is P 2 (M + 1)K . The number of coefficients required by the PH model for a single transmitter branch in 2 × 2 MIMO is 2(M + 1)K (K + 1)/2. Thus, the total number of coefficients required by the PH model in 2 × 2 MIMO is 4(M + 1)K (K + 1)/2. Similarly, the number of coefficients required by the PH model for a single transmitter branch in 3 × 3 MIMO is 3(M + 1)K (K + 1)(K + 2)/6. Thus, the total number of coefficients required by the PH model in 3 × 3 MIMO is 9(M + 1)K (K + 1)(K + 2)/6. The ACC-PH model requires nearly twice the number of coefficients as compared to the PH model The total number of coefficients required by the ACC-PH model in 2 × 2 MIMO and 3 × 3 MIMO are 4(M + 1)K (K + 1) + 2 and 3(M + 1)K (K + 1)(K + 2) + 3, respectively. IV. M EASUREMENT S ETUP Fig. 3 shows the measurement setup for MIMO transmitter. The measurement setup consists of NI transmitter (PXIe1075), couplers, different 101 Long-Term Evolution (LTE) signals, ZHL-42 RF PAs, attenuators, and FSW spectrum analyzer as a transmitter observation receiver. Three different 30-MHz 101 LTE signals are used and each consisted of 1 22 880 samples at a sampling rate of 122.88 Msps. Three different 15-MHz 101 LTE signals are used and each set
JARAUT et al.: COMPOSITE NN DPD MODEL
5015
Fig. 4. Frequency power spectra of various DPD models in the presence of linear crosstalk and nonlinear crosstalk for (a) 15-MHz LTE signal in 2 × 2, (b) 30-MHz LTE signal in 2 × 2, (c) 15-MHz LTE signal in 3 × 3, and (d) 30-MHz LTE signal in 3 × 3.
consisted of 1 84 320 samples at a sampling rate of 92.16 Msps. NI transmitter (PXIe-1075) consists of two or three RF channel output (NI-5793). For 2 × 2 MIMO, the two different RF signals are generated by NI-5793s at a carrier frequency of 2.14 GHz. Similarly, for 3 × 3 MIMO, the three different RF signals are generated by NI-5793s at a carrier frequency of 2.14 GHz. These RF signals are passed through couplers of −15-dB coupling factor. After that these RF signals are used to drive ZHL-42 RF PAs. ZHL-42 PA has operating frequency range from 700 to 4200 MHz and 29.28-dBm output power at 1-dB gain compression. The outputs of these PAs are passed through couplers of −15-dB coupling factor. The outputs of couplers are then passed through attenuators and then through a switch to be captured by FSW spectrum analyzer of Rohde & Schwarz. V. M EASUREMENT R ESULTS The DPD is performed for 2 × 2 and 3 × 3 MIMO transmitters. The training of NN is performed offline. To showcase the usefulness of utilizing the NN, two scenarios are considered in each MIMO transmitters. A. Scenario I: PA Nonlinearity and MIMO Crosstalk Without Modulator Imperfection In the first scenario, the linear crosstalk of −15-dB coupling factor and nonlinear crosstalk of −15-dB coupling
TABLE II I NVERSE M ODELING P ERFORMANCES IN THE P RESENCE OF PA N ONLINEARITY AND C ROSSTALK IN 2 × 2 MIMO T RANSMITTERS FOR LTE 101 (15 MHz)
factor are present in MIMO transmitters. Table II shows the inverse modeling results for scenario I, when 40 000 samples of 15-MHz 101 LTE signal is used for the training of NN and the NN model is validated for different length of samples of 15-MHz 101 LTE signal using different random generator seeds. As from Table II, varying the samples from 40 000 to 1 84 320 does not affect the inverse modeling performance much. Therefore, for performing DPD, 40 000 samples of 15-MHz 101 LTE signal is used for the training of NN, i.e., model identification. After that NN model is vali-
5016
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
TABLE III DPD P ERFORMANCES IN THE P RESENCE OF PA N ONLINEARITY AND C ROSSTALK IN 2 × 2 MIMO T RANSMITTERS
Fig. 5.
TABLE IV
Tables III and IV show the performance of various DPD models in the presence of linear and nonlinear crosstalk for 2 × 2 and 3 × 3 MIMO. The COMP and PH DPD models are performed for M = 4 and K = 6. The number of neurons in two hidden layers of the NN-based DPD model are q = 14 and r = 7, respectively. NN converges to its best performance in 10 iterations. From Tables III and IV, it is clear that the proposed NN-based DPD model has better normalized mean square error (NMSE). NMSE is a measure of in-band transmission error [28]. It is mathematically defined as L 2 n=1 |ymeas (n) − x(n)| (18) NMSE (dB) = 10 log10 L 2 n=1 |x(n)|
DPD P ERFORMANCES IN THE P RESENCE OF PA N ONLINEARITY AND C ROSSTALK IN 3 × 3 MIMO T RANSMITTERS
dated for 1 84 320 samples of 15-MHz 101 LTE signal. For 30-MHz 101 LTE signal, 40 000 samples of 30-MHz 101 LTE signal is used for the training of NN. After that the NN model is validated for 1 22 880 samples of 30-MHz LTE signal. Fig. 4(a) and (b) shows the frequency power spectra of various DPD models for the scenario I in 2 × 2 MIMO transmitters of 15 and 30 MHz LTE signals, respectively. Fig. 4(c) and (d) shows the frequency power spectra of various DPD models for the scenario I in 3 × 3 MIMO transmitters of 15 and 30 MHz LTE signals, respectively. As it can be seen from Fig. 4, the proposed NN-based DPD model have better adjacent channel power ratio (ACPR). ACPR is a measure for an out-of-band transmission error. It is defined as a ratio of adjacent channel power (left or right or mean of both) to the main channel power in the frequency domain [28] ACPR =
⎛
1⎜ ⎝ 2
f c − f + BW 2 f c − f − BW 2
|Y ( f )|2 d f +
f c + BW 2 f c − BW 2
f c + f + BW 2 f c + f − BW 2
|Y ( f )|2 d f
|Y ( f )|2 d f
Antenna array showing crosstalk.
⎞ ⎟ ⎠
(17) where f is the frequency offset from the carrier frequency, BW is the bandwidth, f c is the carrier frequency, and Y ( f ) is the discrete fourier transform of measured baseband output ymeas (n).
where x(n) is the complex baseband input signal and ymeas (n) is the measured baseband output signal. The proposed NN-based DPD model not only outperforms other DPD models in the presence of crosstalk but also requires less number of coefficients (weights and biases) for large size MIMO transmitters as illustrated by the results obtained, and shown in Table IV for 3 × 3 MIMO transmitters. Fig. 5 shows the crosstalk in an antenna array. The antennas which are nearer would impose stronger crosstalk as compared to antennas which are farther. Earlier, we have chosen stronger crosstalk of equal coupling factor of −15 dB because if NN works for that then it should work in other cases like unequal coupling factor. To showcase that the NN DPD model would also work for unequal crosstalk, Table V shows the performance of various DPD models in the presence of PA nonlinearity, unequal nonlinear crosstalk (coupling factor of −15 dB from branch 2 to 1 and −20 dB from branch 3 to branch 1), and unequal linear crosstalk (coupling factor of −15 dB from branch 2 to branch 1 and −20 dB from branch 3 to branch 1) in 3 × 3 MIMO transmitters. B. Scenario II: PA Nonlinearity and MIMO Crosstalk With Modulator Imperfection In the second scenario, the linear and equal crosstalk of −15-dB coupling factor, nonlinear and equal crosstalk of −15-dB coupling factor, I /Q imbalance of −20-dB IRR,
JARAUT et al.: COMPOSITE NN DPD MODEL
5017
TABLE VI DPD P ERFORMANCES IN THE P RESENCE OF PA N ONLINEARITY, C ROSSTALK , IQ I MBALANCE , AND DC O FFSET IN 2 × 2 MIMO T RANSMITTERS
Fig. 6. Effect of increase of the number of MIMO’s transmitter branches on the inverse modeling performance and the number of coefficients/weights and biases in scenario II. TABLE VII TABLE V DPD P ERFORMANCES IN THE P RESENCE OF PA N ONLINEARITY AND U NEQUAL C ROSSTALK IN 3 × 3 MIMO T RANSMITTERS
phase imbalance θ p = 4°, and dc offset of −20 dB with respect to the main signal are present in MIMO transmitters. Fig. 6 shows the effect of the increase of number of MIMO’s transmitter branches on the inverse modeling performance and complexity as compared to other DPD models in scenario II for M = 4, K = 6, q = 14, and r = 7. With the increase in the order of MIMO, the number of coefficients required by PH and ACC-PH models increases exponentially. Whereas with the increase of MIMO order, the NN model requires less number of weights and biases as compared to the number of coefficients required by PH and ACC-PH models. The inverse modeling performance in terms of NMSE (dB) of the NN model is better than other models. The DPD is done for both 2×2 and 3×3 MIMO transmitters with 10- and 30-MHz LTE signals. The 10-MHz LTE signal is shown for heterodyne case by using the IF-shift of 7 MHz to show the effects of I /Q imbalance in the frequency domain. For 10-MHz (IF shifted) LTE signal, 40 000 samples of 10MHz LTE signal is used for the training of NN. After that the NN model is validated for 1 84 320 samples of 10-MHz (IF shifted) LTE signal. Tables VI and VII show the performance of various DPD models. As seen from Tables VI and VII, the performance of the COMPM and PH model degrades due to the presence of I /Q imbalance and dc offset. Again, the proposed NN-based DPD model outperforms other DPD models and also requires less number of coefficients (weights and biases), as shown in Table VII, for 3 × 3 MIMO transmitters.
DPD P ERFORMANCES IN THE P RESENCE OF PA N ONLINEARITY, C ROSSTALK , IQ I MBALANCE , AND DC O FFSET IN 3 × 3 MIMO T RANSMITTERS
Also, it performs inverse modeling of different transmitter’s branches in one step. Fig. 7(a) and (c) shows the frequency power spectra of various outputs of DPD models for scenario II in 2 ×2 MIMO transmitters and 3 × 3 MIMO transmitters for 10-MHz LTE signal IF-shifted by 7 MHz. There is an image present in the output of without DPD signal having IRR of −20 dB due to I /Q imbalance. COMPM DPD and PH DPD outputs also have images and thus they are unable to mitigate the effects of I /Q imbalance. Table VIII shows the IRR (dB) values of DPD outputs for scenario II in 2 × 2 MIMO transmitters and 3 × 3 MIMO transmitters for 10-MHz LTE signal IF-shifted by 7 MHz. The NN-based DPD model output has least IRR. Fig. 7(b) and (d) shows the frequency power spectra of various outputs of DPD models and its error [e.g., COMPM error = ymeas−COMPM (n) − x(n)] for scenario II in 2 × 2 MIMO transmitters and 3 × 3 MIMO transmitters for 30-MHz LTE signal. COMPM and PH errors plots show inband errors which are due to the presence of I /Q imbalance. Actually, there is an image due to I /Q imbalance, which is hiding under signal, appears as an error during transmission in Fig. 7(b) and (d) for IF shift = 0 case. From the graph,
5018
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
Fig. 7. Frequency power spectra of various DPD models in the presence of crosstalk, IQ imbalance, and dc offset for (a) 10 MHz in 2 × 2, (b) 30 MHz in 2 × 2, (c) 10 MHz in 3 × 3, and (d) 30 MHz in 3 ×3.
TABLE VIII
TABLE IX
DPD PERFORMANCES IN T ERMS OF IRR FOR S CENARIO II IN 2 × 2 AND 3 × 3 MIMO T RANSMITTERS FOR 10-MHz LTE S IGNAL IF-S HIFTED
DPD P ERFORMANCES FOR B OTH S CENARIOS FOR A LL THE B RANCHES IN 3 × 3 MIMO T RANSMITTERS FOR 30-MHz LTE 101 S IGNALS
C. Batch-Mode NN DPD Resource Consumption Estimation it is clear that the proposed NN DPD model have better ACPR and IRR performance as compared to other DPD model and requires the less number of coefficients (weights and biases) 3 × 3 MIMO transmitters. Earlier results show the DPD performance of different LTE signals for two scenarios of only one output of 2 × 2 MIMO and 3 × 3 MIMO transmitters. Table IX shows the proposed NN DPD performances for both scenarios for all the branches in 3 × 3 MIMO transmitters for 30-MHz LTE 101 signal. NN performs DPD of different branches in one step with good linearization results.
NN is an iterative method; therefore, its main advantage is in terms of DPD application and adaptation. Fig. 8 shows the proposed intermittent updating process, where NN training is performed offline (SD card) and the weights are updated in predistorter (FPGA or digital processor) after a fixed interval of time. It is to be noted that the DPD application is a continuous process, as all data passes through DPD application block. While, DPD training is done intermittently for a predefined length of training data after a fixed time interval, or whenever ACPR drops below a given interval, therefore, processing
JARAUT et al.: COMPOSITE NN DPD MODEL
5019
After that the error of the previous layer is calculated as δl = f (netl )(Wl+1 )T δl+1 .
(20)
Then, partial derivative with respect to weight is calculated as ∂V = δlj ol−1 i ∂wlj i
(21)
and partial derivative with respect to bias is calculated as ∂V ∂blj Fig. 8.
Proposed intermittent updating process for NN DPD.
complexity of DPD application is more prominent which is dependent on the number of coefficients. For training, it is anticipated that after the first application of trained NN, the training converge of the NN network to an acceptable solution will be faster and the model tends to be more appropriate for batch mode-based adaptive DPD where the training of the network for each iteration will be based on the previous iteration network parameter’s value. Furthermore, it is anticipated that for P × P MIMO system, the rate of increase of the complexity of NN DPD in terms of the number of model coefficients is less than the PH, ACC-PH, and COMPM models. Indeed, the increase of the number of coefficients in PH and ACC-PH is approximately proportional to P 2 × K P , whereas in COMPM it is proportional to P 2 , however, in contrast, the NN might require the addition of few supplementary neurons to reach to an acceptable modeling performance and it is approximately proportional to 2P. VI. C ONCLUSION This paper presents a one-step digital solution for linearization and compensation of transmitter’s impairment in MIMO topology. The proposed NN-based DPD model is implemented in 2 × 2 and 3 × 3 MIMO transmitters having impairments such as PA nonlinearity, crosstalk, I /Q imbalance, and dc offset. The proposed NN-based DPD model shows good results as compared to other DPD models with less number of coefficients (weights and biases), as the order of MIMO transmitters increases. This proposed model could be extended for the linearization and compensation of impairments present in massive MIMO for 5G wireless communication. It is to be noted that the NN-based methods are generally considered complex over its polynomial counterpart. However, in the case of MIMO, due to the use of the single DPD block, this complexity is justified. Nowadays, also NN can be implemented FPGA. Thus, the proposed NN-based DPD model could also be implemented in FPGAs. A PPENDIX The elements of the Jacobian matrix (16) are calculated using backpropagation algorithm. In this algorithm, the error of the outer layer is calculated as δl+1 = f (netl+1 ).
(19)
= δlj .
(22)
The partial derivatives of inner layers can be found by repeating the above procedure [(19)–(22)]. These partial derivatives with respect to weight and with respect to bias are the elements of the Jacobian matrix. ACKNOWLEDGMENT The authors would like to thank the group members of the Intelligent RF Radio Laboratory (iRadio Lab), particularly, the support and help provided by A. Abdelhafiz from the Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. R EFERENCES [1] E. G. Larsson, O. Edfors, F. Tufvesson, and T. L. Marzetta, “Massive MIMO for next generation wireless systems,” IEEE Commun. Mag., vol. 52, no. 2, pp. 186–195, Feb. 2014. [2] J. Gozalvez, “Samsung electronics sets 5G speed record at 7.5 Gb/s [mobile radio],” IEEE Veh. Technol. Mag., vol. 10, no. 1, pp. 12–16, Mar. 2015. [3] Y. Palaskas et al., “A 5-GHz 108-Mb/s 2×2 MIMO transceiver RFIC with fully integrated 20.5-dBm P1d B power amplifiers in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2746–2756, Dec. 2006. [4] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [5] L. Ding et al., “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [6] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [7] H. Zareian and V. T. Vakili, “Analytical EVM, BER, and TD performances of the OFDM systems in the presence of jointly nonlinear distortion and IQ imbalance,” Ann. Telecommun., vol. 64, nos. 11–12, pp. 753–762, 2009. [8] M. Aziz, M. Rawat, and F. M. Ghannouchi, “Rational function based model for the joint mitigation of I/Q imbalance and PA nonlinearity,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 4, pp. 196–198, Apr. 2013. [9] H. Cao, A. S. Tehrani, C. Fager, T. Eriksson, and H. Zirath, “I/Q imbalance compensation using a nonlinear modeling approach,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 3, pp. 513–518, Mar. 2009. [10] L. Anttila, P. Handel, and M. Valkama, “Joint mitigation of power amplifier and I/Q modulator impairments in broadband direct-conversion transmitters,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 730–739, Apr. 2010. [11] Z. Zhu, X. Huang, and H. Leung, “Joint I/Q mismatch and distortion compensation in direct conversion transmitters,” IEEE Trans. Wireless Commun., vol. 12, no. 6, pp. 2941–2951, Jun. 2013. [12] M. Kim, Y. Maruichi, and J.-I. Takada, “Parametric method of frequency-dependent I/Q imbalance compensation for wideband quadrature modulator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 270–280, Jan. 2013.
5020
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 11, NOVEMBER 2018
[13] W. Li, Y. Zhang, L.-K. Huang, J. Cosmas, C. Maple, and J. Xiong, “SelfIQ-demodulation based compensation scheme of frequency-dependent IQ imbalance for wideband direct-conversion transmitters,” IEEE Trans. Broadcast., vol. 61, no. 4, pp. 666–673, Dec. 2015. [14] S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “Crossover digital predistorter for the compensation of crosstalk and nonlinearity in MIMO transmitters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1119–1128, May 2009. [15] D. Saffar, N. Boulejfen, F. M. Ghannouchi, A. Gharsallah, and M. Helaoui, “Behavioral modeling of MIMO nonlinear systems with multivariable polynomials,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2994–3003, Nov. 2011. [16] S. Amin, P. N. Landin, P. Handel, and D. Rönnow, “Behavioral modeling and linearization of crosstalk and memory effects in RF MIMO transmitters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 810–823, Apr. 2014. [17] A. Abdelhafiz, L. Behjat, F. M. Ghannouchi, M. Helaoui, and O. Hammi, “A high-performance complexity reduced behavioral model and digital predistorter for MIMO systems with crosstalk,” IEEE Trans. Commun., vol. 64, no. 5, pp. 1996–2004, May 2016. [18] H. Qian, X. Cheng, X. Luo, and W. Feng, “Calibration of nonlinear crosstalk in MIMO transmitter,” IEEE Trans. Veh. Technol., vol. 66, no. 5, pp. 3739–3748, May 2017. [19] M. Alizadeh, S. Amin, and D. Rönnow, “Measurement and analysis of frequency-domain Volterra kernels of nonlinear dynamic 3 × 3 MIMO systems,” IEEE Trans. Instrum. Meas., vol. 66, no. 7, pp. 1893–1905, Jul. 2017. [20] E. Zenteno and D. Rönnow, “MIMO subband Volterra digital predistortion for concurrent aggregated carrier communications,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 967–979, Mar. 2017. [21] A. Vaezi, A. Abdipour, A. Mohammadi, and F. M. Ghannouchi, “On the modeling and compensation of backward crosstalk in MIMO transmitters,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 9, pp. 842–844, Sep. 2017. [22] Z. A. Khan, E. Zenteno, P. Händel, and M. Isaksson, “Digital predistortion for joint mitigation of I/Q imbalance and MIMO power amplifier distortion,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 322–333, Jan. 2017. [23] S. Li, K. Choi, and Y. Lee, “Artificial neural network implementation in FPGA: A case study,” in Proc. Int. SoC Design Conf. (ISOCC), Jeju, South Korea, Oct. 2016, pp. 297–298. [24] S. Haykin, Neural Networks: A Comprehensive Foundation. Upper Saddle River, NJ, USA: Prentice-Hall, 1999. [25] M. T. Hagan and M. B. Menhaj, “Training feedforward networks with the Marquardt algorithm,” IEEE Trans. Neural Netw., vol. 5, no. 6, pp. 989–993, Nov. 1994. [26] M. Rawat, K. Rawat, and F. M. Ghannouchi, “Adaptive digital predistortion of wireless power amplifiers/transmitters using dynamic real-valued focused time-delay line neural networks,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 95–104, Jan. 2010. [27] M. Rawat and F. M. Ghannouchi, “A mutual distortion and impairment compensator for wideband direct-conversion transmitters using neural networks,” IEEE Trans. Broadcast., vol. 58, no. 2, pp. 168–177, Jun. 2012. [28] P. N. Landin, M. Isaksson, and P. Handel, “Comparison of evaluation criteria for power amplifier behavioral modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, USA, Jun. 2008, pp. 1441–1444.
Praveen Jaraut (S’15) received the B.Tech. degree in electronics and communication engineering and M.Tech. degree in digital and wireless communication from Guru Gobind Singh Indraprastha University, New Delhi, India, in 2008 and 2013, respectively, He is currently pursuing the Ph.D. degree at the Department of Electronics and Communication Engineering, IIT Roorkee, Roorkee, India. He is currently a Visiting Research Student with the Schulich School of Engineering, University of Calgary, Calgary, AB, Canada.
Meenakshi Rawat (M’09) received the M.Sc. and Ph.D. degrees in electrical and computer engineering from the University of Calgary, Calgary, AB, Canada, in 2012. From 2012 to 2013, she was a Post-Doctoral Research Fellow with the University of Calgary. From 2013 to 2014, she was a Post-Doctoral Project Researcher/Scientist with The Ohio State University, Columbus, OH, USA. She is currently an Assistant Professor with IIT Roorkee, India. Dr. Rawat was the Workshop Co-Chair for the Automatic RF Techniques Group (ARFTG)-82 and the Session Co-Chair for millimeter-wave and terahertz designs for iMARC 2014, Bangalore, India. She was part of the Calgary Group that won the Overall Championship and Best Design Prize of the Third Annual Smart Radio Challenge, Wireless Innovation Forum. She was also the three-time recipient of the Research Production Award of the University of Calgary and Best Poster Award of the 82nd ARFTG Conference, Columbus, OH, USA, in 2013. Recently, she was listed as a Featured Engineer on EEWeb.com (Electrical Engineer Community).
Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is a Professor, the Alberta Innovate Strategic Chair in Intelligent RF Technology, a Canada Research Chair in Green Radio Systems, and the Founding Director of the Intelligent RF Radio Laboratory (iRadio Lab), Department of Computer and Electrical Engineering, University of Calgary, Calgary, AB, Canada. He is also a part-time Thousand Talents Special Foreign Expert Professor with the Department of Electronic Engineering, Tsinghua University, Beijing, China. He has authored over 750 referred journals and conference papers, published 6 books, holds 25 U.S. patents (5 pending), and co-founded 3 spin-off companies. His current research interests include microwaves electronics, satellite, wireless, and optical communications. Dr. Ghannouchi is a Fellow of the Institute of Electrical and Electronic Engineers, a Fellow of the Institution of Engineering and Technology, a Fellow of the Canadian Academy of Engineering, a Fellow of the Engineering Institute of Canada, and a Fellow of the Academy of Science of the Royal Society of Canada.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Increasing the Range of Wireless Power Transmission to Stretchable Electronics Elad Siman-Tov , Victor Farm-Guoo Tseng , Sarah S. Bedair , and Nathan Lazarus
Abstract— Wireless power transmission is of paramount importance in stretchable electronics due to the challenges of fabricating effective electrical contacts between stretchable systems and the outside world. Poor stretchable inductor performance has been a major factor limiting the range of power transfer to stretchable systems. Here, through the development of stretchable inductors with the highest quality factors on record (as high as 174, more than double the highest previously demonstrated), we are able to increase the range of effective power transfer to stretchable electronics. Our stretchable inductors were fabricated using liquid-metal-filled channels in a soft silicone and can withstand strains up to 100%. Using this approach combined with magnetic resonance techniques, we show systems able to transfer power effectively at distances of one and two coil diameters, significantly beyond the half-coil diameter distance that was the previous state of the art. Using optimized designs, we demonstrate the efficiency of 64% at one coil diameter (40 mm) and a second system with the efficiency of 15.5% at two coil diameters (84 mm). Index Terms— Fluidic electronics, liquid metals, stretchable electronics, stretchable inductors, strongly coupled magnetic resonance, wireless power transfer (WPT).
I. I NTRODUCTION HE field of stretchable electronics has important applications in soft robotics [1], biomedical devices [2], and wearable sensors [3], [4]. For wearable systems, stretchable devices are meant to act as a “second skin,” in close contact with the human body and maintaining performance as the body stretches under motion. The skin over much of the surface of the human body reaches levels of 30% strain, and certain areas such as below the kneecap experience strain as high as 100% [5], far beyond what is possible for traditional electronics. Wiring for power or communication can be easily damaged during stretching, and omitting the use of batteries, bulky components, and physical connections allows better stretchability and the maximum mobility for the user [6], driving a need for wireless power. Numerous examples of wireless power transfer (WPT) to stretchable systems have been demonstrated [2], [7]–[13], but to the authors’ knowledge,
T
Manuscript received March 23, 2018; revised June 11, 2018; accepted July 9, 2018. (Corresponding author: Elad Siman-Tov.) E. Siman-Tov is with the Sensors and Electron Devices Directorate, U.S. Army Research Laboratory (ARL), Adelphi, MD 20783 USA, under General Technical Services LLC, Wall Township, NJ 07727 USA (e-mail:
[email protected];
[email protected]; eladsim90@gmail. com). V. F.-G. Tseng is with the U.S. Army Research Laboratory (ARL), Adelphi, MD 20783 USA. S. S. Bedair and N. Lazarus are with the Sensors and Electron Devices Directorate, U.S. Army Research Laboratory (ARL), Adelphi, MD 20783 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2859948
all have been focused on very short distances (far less than a coil diameter), limiting practical use by requiring the user to stay in close proximity to a charging device. More than a century ago, Nikola Tesla patented the use of two tuned inductive coils to improve power coupling for nonradiative wireless power transmission [14]. Unlike nonresonant WPT, in which efficiency falls sharply with increasing distance, resonant power transfer supports operation at midrange distances [15], defined as distances greater than one coil diameter. Since Tesla’s time, a significant amount of research has been devoted to mid-range resonant WPT [15], [16] including a major resurgence of interest in the past decade leading to commercial systems from suppliers such as WiTricity. In [2], the first stretchable WPT system—serpentine traces in a wireless power coil—was developed to power biomedical sensors attached to the skin like a temporary tattoo. In [8], a stretchable WPT system was fabricated for recharging a battery of a wearable device using serpentine copper traces in silicone. An efficiency of 17.2% was demonstrated at very short range (a distance of 1 mm) from the transmitting coil, a small fraction of the coil diameter. Methods of using serpentine stretchable inductor designs with thin-film metals, however, present increased resistance under strain due to the formation of cracks [17] and small cross-sectional area resulting in a decreased quality factor (Q). Recent research [7], [11]–[13] in stretchable WPT has therefore shifted to liquid metals embedded in soft silicone channels, allowing large cross sections at metallic resistivities for higher Q. In [12], an efficiency of 79% was achieved at short range (a distance of 0.05 coil diameters) using galinstan embedded in EcoFlex 00–30. Using a similar technique, an efficiency of 92% was achieved [7] when using a liquid metal inductor with a stretchable magnetic backplane at a distance of 0.049 coil diameters. Prior work in the literature on long-distance WPT to stretchable electronics has been scarce, with demonstrated systems limited to slightly above half a coil diameter transmission distance. In the current stateof-the-art in longer-distance power transmission, from [10], an efficiency of 13% for a liquid metal coil was demonstrated at 0.62 average coil diameters, with an average coil diameter defined as the geometric mean of the largest dimension of each element because different sizes were used for transmitter and receiver coils. In this paper, we present the first demonstration of effective WPT from a rigid transmitter to a stretchable receiver at higher distances, demonstrating systems with power transfer efficiencies as high as 64% at one coil diameter and 15.5%
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Fig. 1.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Stretchable inductor survey.
at two coil diameters. To achieve this performance, liquidmetal-based stretchable inductors with Q factors as high as 174, the highest ever reported for a stretchable inductor, are demonstrated as shown in the broad survey of prior stretchable inductor performance in Fig. 1. Models of the systems are developed in the numerical solver HFSS, followed by comparison with mechanical behavior under strains as high as 100%. II. P RINCIPLES OF R ESONANT W IRELESS P OWER T RANSFER A. Resonant Power Transfer Using resonance, it is possible to transmit power between two resonators with efficiencies of 90% or higher at a distance of one coil diameter [29]. Power can be transmitted at a distance due to the low decay rate of energy in the resonators relative to their stored energy, which instead of being dissipated in the resonator, is transferred to an adjacent resonator through the electromagnetic field [30]. A measure of this decay rate is the quality factor, or Q, the ratio of average energy stored to energy loss per second [31]. Fig. 2 shows a diagram and equivalent circuit schematic for a simple four-coil resonant WPT (L 1 /L 2 as the rigid transmitter element and L 3 /L 4 as the stretchable receiver element) system where the coupling between inductors is denoted by κ and decreases with increasing distance between mutually coupled inductors. At mid-range distances, three coupling factors dominate (κ12 , κ34 , and κ23 ), and in order to maximize power transfer, the system reactance should be eliminated, requiring the condition κ12 κ34 =1 (1) κ23 to be satisfied [32]. By controlling the coupling between the individual coils, it becomes possible to design for the maximum power transfer to a given distance (which directly corresponds to κ23 ), what is known as the critical coupling
Fig. 2. (a) Diagram and (b) circuit model of the resonant WPT system. The source loop (1) feeds the source resonator (2), which transfers power to the load resonator (3) and the load loop (4) receives the remaining power.
point [15], [16]. κ12 and κ34 are design parameters used to set the desired operational distance using the ratio in (1) which is a particular advantage of the four coil topology as there are more “knobs to turn” when compared with a two-coil system [15]. However, extending the transmission range is done at the expense of the maximum attainable efficiency [15]. For further discussion of four-coil systems, the reader is referred to [15] and [16]. B. Efficiency The power transfer efficiency η is defined as the ratio of the real output power to the real input power, and is influenced both by the coupling and how efficiently the individual inductors store energy [33] according to [16] (2) η ∝ κ23 Q 2 Q 3
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SIMAN-TOV et al.: INCREASING RANGE OF WIRELESS POWER TRANSMISSION TO STRETCHABLE ELECTRONICS
3
with Q 2 and Q 3 being the quality factors of the two resonators L 2 and L 3 in [Fig. 2(b)], and κ23 being the coupling coefficient between them. When coupling between these two resonators become weak, good efficiency can be maintained by designing the resonators to have high Q, allowing for a longer distance power transfer. Prior stretchable WPT work has lower power transfer efficiencies (and shorter range) due to the lower Q factors of the inductors that were used. When conducting two-port network analyzer measurements, efficiency can also be expressed in terms of S-parameters by using the power gain [31] η=
|S21 |2 × 100% 1 − |S11 |2
(3)
where |S21 |2 is the forward gain and |S11 |2 is the amount of reflected power at the input.
C. Behavior of WPT Elements Under Applied Strain
Fig. 3. Diagram of a coplanar WPT design with all inductors fabricated in the planar style.
EcoFlex 00-30 (dielectric constant of 2.5 and loss tangent of 0.01 [34]) and other soft silicones are considered to be nearly incompressible elastically homogeneous materials [26] and, therefore, have positive stretch λ = 1 + str ai n values. In this paper, we stretched our liquid-metal-based WPT elements along the x-axis. The ratio of inductance under applied strain (in the x-direction) to static (zero strain) inductance can be approximated by [18] 1 λx L + √ = L0 2 2 λx
(4)
where L 0 is the static inductance and λx is the stretch level along the x-axis. The ratio of resistance under strain to static resistance can be approximated as 1 λ2 R = x + . R0 2 2λx
(5)
Thus, the Q factor of a stretchable inductor can be approximated relative to its unstrained Q 0 as √ λx + 1/ λx Q = 2 . Q0 λx + 1/λx
(6)
Notice that the dominant term λ2x in (6) indicates that under strain, the resistance will increase at a greater rate than the inductance resulting in a diminishing Q factor. As the stretchable receiver element (L 3 /L 4 ) undergoes strain, all the coil inductances L 3 and L 4 as well as the mutual inductance M34 increase with strain.√However, since the coupling coefficient κ34 is equal to M34 / L 3 L 4 , κ34 only decreases slightly with strain. Furthermore, when operating the system at its intended range (one/two coil diameters) under strain, κ23 slightly rises and falls peaking at around 60% strain. Overall the slight shift in the coupling coefficients under applied strain can alter the ratio in (1), thereby shifting the critical coupling distance.
III. D ESIGN AND M ODELING P ROCESS Although helical geometries are commonly employed as resonators in wireless power systems [as in Fig. 2(a)], for ease of fabrication (important in many stretchable systems where fabrication can be especially challenging), a planar and conformal geometry was used here [35], [36], with source/load coils coplanar with their respective resonators as shown in Fig. 3. Although multiturn coils have certain advantages such as increased self-inductance and the mutual inductance between coils, all inductors were chosen to be single-turn coils since multiturn coils would require a multilayer stretchable fabrication process to establish a return path and embed the capacitor to induce resonance. Multiturn coils would also require a narrower trace width and reduced interwinding spacing which can present increased loop resistance due to the uneven distribution of liquid metal during stretching. To increase the efficiency and extend the range of wireless power transmission, the coils should be designed with high Q factors [as in (2)]. The Q factors of the coils increase with frequency until eddy current losses become too severe. Therefore, it is desirable to reduce the eddy current losses while operating at higher frequencies. An additional benefit of using single-turn coils is that they eliminate interwinding parasitic capacitances (which limits the maximum operating frequency) and the eddy current losses [37] that are present in multiturn coils. Such losses become increasingly high at higher frequencies. In our case, for single-turn stretchable liquid metal inductor sizes typically used in the wearable community, high Q factors can be achieved near 100 MHz. The majority of prior work in liquid metal inductors operates at lower frequencies which may not be optimal. Two systems were designed to transfer power from a rigid transmitter to a stretchable receiver, the first optimized to provide the maximum power transfer at one coil diameter and the second at two coil diameters; henceforth, known as design I
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
and design II, respectively. The dimensions used in this paper are defined in Fig. 3. A. Design Process The same design process flow was used for designs I and II; therefore, only the details for design II is shown here for demonstration purposes only and to avoid redundancy. 1) Constraints: To reasonably envision use as a wearable device, a maximum diameter of approximately 40 mm was set as a design constraint. Furthermore, the gap width for the stretchable elements was set as 6 mm to allow ample space to embed the capacitor (for resonance) while avoiding an electrical shortage. The gap width for the rigid elements was set as 0.4 mm to be compatible with surface-mounted capacitors and SMA ports. 2) Summary: To effectively design and optimize a four-coil WPT system for the maximum power transfer at a desired distance, the following steps must be taken. 1) Step 1: Maximize the resonator Q factors within design constraints to determine initial r1 and r2 . 2) Step 2: Calculate κ23 based on the desired operating distance. 3) Step 3: Determine dimensions of source/load loops (r3 and r4 ) in an attempt to satisfy the condition in (1). 4) Step 4: Iteratively alter r2 to obtain final resonator dimension to further satisfy (1). 5) Step 5: C2 and C3 are then chosen for resonance at the desired frequency. 3) Details: The first step in the design process is to select resonator dimensions r1 and r2 to achieve optimal Q factor (to minimize power loss). This is illustrated by the contour plots of Fig. 4(a) and (b) where the design space for the rigid and stretchable resonators is shown as a function of r1 and r2 . We selected a maximum Q factor within our design constraints [shown to the left of the dashed red line in Fig. 4(a) and (b)] and selected the initial r1 and r2 dimensions (the final operating points are selected after the iteration process as explained in the following). At this point, the coupling κ23 can be determined at the desired distance between both the resonators. For design II, κ23 ∼ = 0.005 at 84 mm distance. Note that there is a limit on the increase in coil trace width to raise Q, because increasing the trace width too much will reduce the effective diameter of the coil resulting in a decreased inductance value. Furthermore, the inner area of the resonator decreases (as width is increased) and introduces intercoil eddy current losses [37] due to the proximity of the source/load coil resulting in an increase in loop resistance and κ34 , which ultimately reduces Q and the critical coupling distance in accordance with (1). This effect is illustrated in Fig. 5(a) and (b), where Q and κ34 of the stretchable elements are plotted versus resonator and load loop width. Next, the rigid source and stretchable load loops are added in a coplanar manner within their respective resonators. Now, we need to properly select r3 and r4 to provide optimal coupling κ12 and κ34 to achieve the maximum power transfer at our desired distance in accordance with (1). κ12 and κ34 (of design II) as a function of r3 and r4 are shown as
Fig. 4. HFSS-simulated contour plots detailing design space for the (a) rigid and (b) stretchable resonator Q factors and (c) rigid and (d) stretchable κ12 and κ34 as a function of their dimensions for design II. (e) Simulated operating points showing the resulting tradeoff between efficiency (Q 2 and Q 3 ) and the coupling ratio expressed in (1) to achieve the maximum power transfer in design II.
contour plots in Fig. 4(c) and (d), respectively, while r1 and r2 are held constant. The goal in this step is not to select the maximum coupling but rather to choose values of
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SIMAN-TOV et al.: INCREASING RANGE OF WIRELESS POWER TRANSMISSION TO STRETCHABLE ELECTRONICS
5
TABLE I D IMENSIONS AND PARAMETERS OF B OTH D ESIGNS
power region. This region consists of the red points in Fig. 4(e) with output power levels of 90.8, 92.7, and 94.9 mW with efficiencies of 26.0%, 28.1%, and 21.4%, respectively. The final choice of dimensions for both the designs is listed in Table I. C2 and C3 are selected to resonate the elements at the desired frequency. B. Modeling
Fig. 5. HFSS-simulated stretchable (a) resonator and (b) load loop Q factor and κ34 as a function of their width for both designs.
κ12 and κ34 such that (1) is satisfied. The optimal region drawn in Fig. 4(c) and (d) roughly indicates the direction in which the desired design value could be found. Note that in a practical system, due to remnant coil reactance, the coupling ratio in (1) may need to be slightly adjusted to achieve the maximum power transfer at the targeted distance [38]. This is done by adjusting the r2 dimension of the resonators (within design constraints) until their final value is determined [Fig. 4(a) and (b)]. This effectively reduces the Q factors of the resonators and results in a tradeoff between the achievable efficiency and the maximum power transfer [15], [16]. This tradeoff is illustrated in Fig. 4(c) and (d) by the optimal maximum power region and in Fig. 4(e) where various resonator design geometries (i.e., operating points) are listed along with their output power values [38] (using a 4-V amplitude ideal voltage source). As shown, the output power increases when moving toward the left-hand side of Fig. 4(e) (closer to κRatio = 1), which does not coincide with the maximum efficiency point. For design II, the operating point was chosen to provide high efficiency (28.1%) in the maximum
Using the finite-element solver HFSS, we incorporated geometrical effects and material properties. Copper was used for the transmitter coil and galinstan, and a liquid gallium alloy with melting point −19 ◦ C and an electrical conductivity of 3.46 × 106 S/m [39] was used for the stretchable coils. After obtaining the final dimensions listed in Table I, each inductor was simulated independently and the resulting series inductance and resistance values were used in the circuit model as explained in the following. To simulate efficiency, lumped capacitors were added to the resonator gaps and system ports were added to the source/load loop gaps, creating a two-port network. In addition, lumped element circuit models were developed using the circuit simulator SPICE. The circuit model shown in Fig. 2(b) was constructed using the isolated inductances (L 1 , L 2 , L 3 , and L 4 ) and series resistances (R1 , R2 , R3 , and R4 ) of the four coils at the desired frequency extracted from HFSS simulations. By using the complete Neumann formula [40], the mutual inductance between all of the coils was analytically determined, which was then used to calculate all six coupling coefficients (κ12 , κ23 , κ34 , κ13 , κ24 , and κ14 ) between the four coils. The resulting mutual inductance model was used to simulate optimal efficiency and the maximum power transfer distance as shown in Section IV. IV. FABRICATION P ROCESS A fabrication process modified slightly from [12] and using an injection of liquid metal [41], [42] was employed for the stretchable coils here. Briefly, liquid precursors of a soft silicone (Ecoflex 00-30, prepared with equal parts A and B), were poured into a polycarbonate mold printed on a Stratasys FDM Titan 3-D printer to define channels for the liquid metal
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
Fig. 6.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Photograph of design II with an embedded 27-pF capacitor.
and slots for the embedded rigid components. The silicone was allowed to cure completely at room temperature for four hours, followed by removal from the mold and coring with a metal syringe tip to define inlet and outlet holes for future liquid metal injection. Rigid components were then embedded into their designed sockets, with wires soldered onto surface mount components to stick out into the liquid metal channels and provide electrical connection. To seal the channels, the molded silicone piece was bonded to another, unpatterned silicone piece using liquid silicone precursors as an adhesive layer, with the silicone then allowed to cure completely for an additional 4 h. Finally, liquid metal was injected using a syringe, followed by sealing of the inlet and outlet holes with drops of silicone precursor. A photograph of design II is shown in Fig. 6. To allow room for the capacitor and to prevent the input leads from shortage during testing of the stretchable elements, a gap of 6 mm was chosen as a design constraint. The rigid transmitter source coil and resonator was printed in a standard PCB process (Advanced Circuits) on a single-layer FR4 PCB board using a copper thickness of 0.035 mm and an overall thickness of 1.57 mm. V. R ESULTS For each design, the power transfer efficiency was measured from the rigid transmitter to the stretchable receiver under various levels of strain up to 100%. The position of 3-D printed clamps along threaded rods was used to apply mechanical strain as shown in Fig. 7. Both elements were connected to a Keysight E5061B VNA (with a characteristic impedance of 50 ) using an SMA port and cable, but for the stretchable elements, a combination of SMA ports with soldered leads was used to interface with the liquid metal. A. Removal of Adapter Effects To obtain accurate results, it is necessary to deembed or remove the effects of external electrical interfaces to the liquid metal. In our case, the external interface is an SMA port with soldered leads as shown in Fig. 7. By measuring stretchable “open” and “short’ circuits, we used Y-parameters
Fig. 7.
Strain testing setup.
to remove the parasitic effects of the adapter [43] by utilizing the following expression, which is valid for n-port parameters YDUT = ((Ysys − Yopen )−1 − (Yshort − Yopen )−1 )−1
(7)
where YDUT is the Y-parameter matrix of the device under test, Ysys is the matrix of the system including the adapters, Yshort is the matrix of a liquid metal short circuit, and Yopen is the matrix of a stretchable open circuit. Once YDUT is obtained, a conversion to Z-parameters takes place and then L and Q can be analytically determined. B. Measured Results Through simulation and optimization [Fig. 4(a)–(e)], we arrived at the dimensions listed in Table I. The subscript of f corresponds to percent strain and represents the shifted operating frequency when measuring efficiency at that level of strain. The lumped element capacitors (C2 and C3 in Fig. 2) were chosen to achieve the same resonant frequency for transmitter and receiver resonators at 0% strain. C1 and C4 in Fig. 2 represent the parasitic capacitances of the source and load loops; the operational frequencies were significantly below the self-resonant frequencies of these loops. The inductance and Q factor of each coil were obtained by measuring the one-port S-parameters of each stretchable inductor independently and then using the expression in (7) to remove adapter effects. Table II lists the measured parameters [subscripts correspond to the circuit model of Fig. 2(b)] of each stretchable inductor for both designs for various levels of strain. Efficiency was measured using two-port S-parameters. The maximum Q factor of 174 was measured in the stretchable resonator of design II as shown in Fig. 8 at 95.3 MHz with an inductance of 58.5 nH. To the best of our knowledge, this is the highest reported Q factor for a stretchable inductor, as expressed in Fig. 1. Efficiency measurements were not taken at this frequency because the optimal frequency occurred at the point where all four inductors had relatively high Q and depended on the value of the resonator capacitance. With an increase in strain, a reduction in measured Q was observed (Table II), which matches well with the results predicted using (6). For example, for design II, with Q 3 = 136
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SIMAN-TOV et al.: INCREASING RANGE OF WIRELESS POWER TRANSMISSION TO STRETCHABLE ELECTRONICS
Fig. 8.
7
Measured quality factors for design II at 0% strain.
TABLE II M EASURED S YSTEM P ERFORMANCE OF B OTH D ESIGNS 1
Fig. 9.
at 0% strain, (6) predicts Q 3 = 126 at 20% strain and Q 3 = 108 at 50% strain. The reduction in Q at higher strains (50%–100%) was more pronounced in design I than in design II. This is because design I has a smaller trace width and is more sensitive to variations in liquid metal distribution during stretching than the larger trace widths of design II, which causes a rapid increase in resistance (decrease in Q factor) for design I at higher strain. On the other hand, inductance increases with strain because of the larger overall geometry [18]. The simulation models were verified by comparing the modeled and measured efficiency curves illustrated in Fig. 9. The modeled efficiencies in Fig. 9(b) correspond to the operating point of design II as shown in Fig. 4(e). As mentioned, the circuit model is more simplified and determines system performance based on analytically calculated mutual inductance; therefore, it exhibits higher variability (efficiencies above or below that of HFSS). The HFSS model, on the other hand, takes into account the material properties and geometries of the coils, as well as the decrease in the Q factors of the
Modeled and measured efficiency of (a) design I and (b) design II.
coils when they become closely coupled at closer distances, and therefore, produces efficiencies different from the circuit model. Nevertheless, the efficiencies from both the modeling approaches closely match the trend of the measured efficiency, with the HFSS modeling results being within 15% from the measurement results for design I and 20% for design II. The variation from the modeling results is largely due to the necessary simplifications in the modeling; both models (circuit and HFSS) do not account for the dielectric material [44] (FR4 and EcoFlex), metal clamps and extended cables used in the test setup (Fig. 7), wire resistance and contact resistance to the liquid metal, and any slight geometrical differences due to fabrication. This causes the coils to have measured Q factors that are lower than expected, and therefore, results in a lower than predicted efficiency [in accordance with the relationship expressed in (2)]. This difference is more evident in design II because of the larger difference between the simulated and measured Q factors, and possibly due to the resonant frequency mismatch between the higher Q factor resonators [L 2 and L 3 in Fig. 2(b)].
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 11.
Fig. 10. Measured efficiency for selected strain levels for (a) design I and (b) design II.
For design I, designed for one coil diameter distance, the measured efficiency at 40 mm (one coil diameter) was 64.7%, the highest reported efficiency at one coil diameter for a stretchable WPT system. Design II reached 15.5% efficiency at its desired distance (84 mm, two coil diameters), again the highest on record at this distance for a stretchable receiver. With an increase in strain, a reduction in efficiency was observed in both the designs as verified by Fig. 10. As the inductor traces are stretched, the inductance rises [18] resulting in a drop in frequency that creates a frequency mismatch (detuning) between the transmitter and receiver resonator coils. This frequency mismatch is more pronounced in design II because of its high Q factor for both the transmitting and receiving resonators which decreases its bandwidth and tolerance for detuning, thereby causing a more dramatic reduction in efficiency. The operating frequency at various levels of applied strain is listed in Table I. Frequency mismatch can be improved [45] by designing a system where the capacitance and/or the transmit frequency of the rigid transmitter varies as a function of distance similar to [46].
Output power measurements for design II.
To verify the design goal of achieving the maximum power transfer at the desired distance, the output power level versus distance was measured and plotted against the HFSS and circuit modeling results in Fig. 11 (design II is shown for representative purposes). The input source voltage was held constant at a 4-V amplitude and the load resistance was fixed at 50 . The HFSS model again produces results that are more consistent (than the circuit model) with the measured results but shifted slightly to the left with similar output power levels and the maximum power transfer distance. The maximum power transfer distance occurs at around 65 mm for the HFSS and measurement results, and around 75 mm for the circuit modeling results. The variation in the critical coupling distance is because the derivation of (1) does not include the parasitic resistance and capacitance of the coils, which slightly shifts the critical coupling point. The magnitude of output power is different between the HFSS and the circuit model, because the former accounts for the change in coil Q factors due to eddy current losses and is therefore significantly more accurate. VI. C ONCLUSION In this paper, we presented a stretchable wireless power system capable of effective power transfer at greater than one coil diameter, an advance enabled by our design of a very high Q stretchable inductor. To the best of our knowledge, the inductor used in the resonator for design II is the highest Q factor ever reported for a stretchable inductor with a value of 174 at 95.3 MHz and an inductance of 58.5 nH. The work here presents the highest power transfer efficiency ever demonstrated to a stretchable receiver at longer distances with measured efficiencies of 64% and 15.5% at one (40 mm) and two coil (84 mm) diameters, respectively. R EFERENCES [1] C. Majidi, “Soft robotics: A perspective–current trends and prospects for the future,” Soft Robot., vol. 1, no. 1, pp. 5–11, Jul. 2013. [2] D.-H. Kim et al., “Epidermal electronics,” Science, vol. 333, no. 6044, pp. 838–843, 2011. [3] D. Yun and K. S. Yun, “Woven piezoelectric structure for stretchable energy harvester,” Electron. Lett., vol. 49, no. 1, pp. 65–66, Jan. 2013.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SIMAN-TOV et al.: INCREASING RANGE OF WIRELESS POWER TRANSMISSION TO STRETCHABLE ELECTRONICS
[4] T. Cheng, Y.-Z. Zhang, W.-Y. Lai, Y. Chen, W.-J. Zeng, and W. Huang, “High-performance stretchable transparent electrodes based on silver nanowires synthesized via an eco-friendly halogen-free method,” J. Mater. Chem. C, vol. 2, no. 48, pp. 10369–10376, Oct. 2014. [5] K. Bethke, “The second skin approach: Skin strain field analysis and mechanical counter pressure prototyping for advanced spacesuit design,” M.S. thesis, Dept. Aeronaut. Astronaut., Massachusetts Inst. Technol., Cambridge, MA, USA, Jun. 2005. [Online]. Available: http:// hdl.handle.net/1721.1/32443 [6] N. Lazarus, C. D. Meyer, S. S. Bedair, G. A. Slipher, and I. M. Kierzewski, “Magnetic elastomers for stretchable inductors,” ACS Appl. Mater. Interfaces, vol. 7, no. 19, pp. 10080–10084, May 2015. [7] N. Lazarus and S. S. Bedair, “Improved power transfer to wearable systems through stretchable magnetic composites,” Appl. Phys. A, Solids Surf., vol. 122, no. 5, p. 543, Apr. 2016. [8] S. Xu et al., “Stretchable batteries with self-similar serpentine interconnects and integrated wireless recharging systems,” Nature Commun., vol. 4, Feb. 2013, Art. no. 1543. [9] J. Kim et al., “Epidermal electronics with advanced capabilities in nearfield communication,” Small, vol. 11, no. 8, pp. 906–912, Feb. 2015. [10] A. Qusba, A. K. RamRakhyani, J.-H. So, G. J. Hayes, M. D. Dickey, and G. Lazzi, “On the design of microfluidic implant coil for flexible telemetry system,” IEEE Sensors J., vol. 14, no. 4, pp. 1074–1080, Apr. 2014. [11] S. H. Jeong, K. Hjort, and Z. Wu, “Tape transfer atomization patterning of liquid alloys for microfluidic stretchable wireless power transfer,” Sci. Rep., vol. 5, Feb. 2015, Art. no. 8419. [12] N. Lazarus, C. D. Meyer, and W. J. Turner, “A microfluidic wireless power system,” RSC Adv., vol. 5, no. 96, pp. 78695–78700, Sep. 2015. [13] N. Lazarus and B. Hanrahan, “Thermotherapy platform based on a highly stretchable wireless heater,” Adv. Mater. Technol., vol. 1, no. 8, Sep. 2016, Art. no. 1600130. [14] N. Tesla, “Apparatus for transmitting electrical energy,” U.S. Patent 1 119 732, Dec. 1, 1914. [15] S. Y. R. Hui, W. Zhong, and C. K. Lee, “A critical review of recent progress in mid-range wireless power transfer,” IEEE Trans. Power Electron., vol. 29, no. 9, pp. 4500–4511, Sep. 2014. [16] S. Y. R. Hui, “Magnetic resonance for wireless power transfer,” IEEE Power Electron. Mag., vol. 3, no. 1, pp. 14–31, Mar. 2016. [17] S. P. Lacour, S. Wagner, Z. Huang, and Z. Suo, “Stretchable gold conductors on elastomeric substrates,” Appl. Phys. Lett., vol. 82, no. 15, pp. 2404–2406, 2003. [18] A. Fassler and C. Majidi, “Soft-matter capacitors and inductors for hyperelastic strain sensing and stretchable electronics,” Smart Mater. Struct., vol. 22, no. 5, Apr. 2013, Art. no. 055023. [19] J. Kim et al., “Battery-free, stretchable optoelectronic systems for wireless optical characterization of the skin,” Sci. Adv., vol. 2, no. 8, Aug. 2016, Art. no. e1600418. [20] S. Yang et al., “‘Cut-and-paste’ manufacture of multiparametric epidermal sensor Systems,” Adv. Mater., vol. 27, no. 41, pp. 6423–6430, Sep. 2015. [21] J.-S. Roh, Y.-S. Chi, J.-H. Lee, S. Nam, and T. J. Kang, “Characterization of embroidered inductors,” Smart Mater. Struct., vol. 19, no. 11, Sep. 2010, Art. no. 115020. [22] Y. Huang et al., “Self-similar design for stretchable wireless LC strain sensors,” Sens. Actuators A, Phys., vol. 224, pp. 36–42, Apr. 2015. [23] X. Huang et al., “Materials and designs for wireless epidermal sensors of hydration and strain,” Adv. Funct. Mater., vol. 24, no. 25, pp. 3846–3854, Mar. 2014. [24] M. H. M. Kouhani, A. Weber, and W. Li, “Wireless intraocular pressure sensor using stretchable variable inductor,” in Proc. IEEE 30th Int. Conf. Micro Electro Mech. Syst. (MEMS), Las Vegas, NV, USA, Jan. 2017, pp. 557–560. [25] Z. Ma et al., “Materials and design considerations for fast flexible and stretchable electronics,” in IEDM Tech. Dig., Dec. 2015, pp. 19.2.1–19.2.4. [26] N. Lazarus, C. D. Meyer, S. S. Bedair, H. Nochetto, and I. M. Kierzewski, “Multilayer liquid metal stretchable inductors,” Smart Mater. Struct., vol. 23, no. 8, Jul. 2014, Art. no. 085036. [27] N. Lazarus and C. D. Meyer, “Stretchable inductor with liquid magnetic core,” Mater. Res. Express, vol. 3, no. 3, Mar. 2016, Art. no. 036103. [28] N. Futai, N. Futai, K. Matsumoto, and I. Shimoyama, “A flexible micromachined planar spiral inductor for use as an artificial tactile mechanoreceptor,” Sens. Actuators A, Phys., vol. 111, nos. 2–3, pp. 293–303, Mar. 2004.
9
[29] H. Hu and S. V. Georgakopoulos, “Multiband and broadband wireless power transfer systems using the conformal strongly coupled magnetic resonance method,” IEEE Trans. Ind. Electron., vol. 64, no. 5, pp. 3595–3607, May 2017. [30] M. Kesler, “Highly resonant wireless power transfer: Safe, efficient, and over distance,” Witricity Corporation, Watertown, MA, USA, White Paper, 2013, pp. 1–32. [Online]. Available: http://large.stanford. edu/courses/2016/ph240/surakitbovorn1/docs/kesler.pdf [31] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2012, p. 274 and 560. [32] S. Cheon, Y.-H. Kim, S.-Y. Kang, M. L. Lee, J.-M. Lee, and T. Zyung, “Circuit-model-based analysis of a wireless energy-transfer system via coupled magnetic resonances,” IEEE Trans. Ind. Electron., vol. 58, no. 7, pp. 2906–2914, Jul. 2011. [33] C.-L. Yang, C.-K. Chang, S.-Y. Lee, S.-J. Chang, and L.-Y. Chiou, “Efficient four-coil wireless power transfer for deep brain stimulation,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 7, pp. 2496–2507, Jul. 2017. [34] P. Liu et al., “Tunable meta-atom using liquid metal embedded in stretchable polymer,” J. Appl. Phys., vol. 118, Jun. 2015, Art. no. 014504. [35] A. A. Eteng, S. K. A. Rahim, C. Y. Leow, S. Jayaprakasam, and B. W. Chew, “Low-power near-field magnetic wireless energy transfer links: A review of architectures and design approaches,” Renew. Sustain. Energy Rev., vol. 77, pp. 486–505, Apr. 2017. [36] H. Hu, K. Bao, J. Gibson, and S. V. Georgakopoulos, “Printable and conformal strongly coupled magnetic resonant systems for wireless powering,” in Proc. WAMICON, Tampa, FL, USA, Jun. 2014, pp. 1–4. [37] G. S. Smith, “Proximity effect in systems of parallel conductors,” J. Appl. Phys., vol. 43, no. 5, pp. 2196–2203, 1972. [38] M. Kiani, U.-M. Jow, and M. Ghovanloo, “Design and optimization of a 3-coil inductive link for efficient wireless power transmission,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 6, pp. 579–591, Dec. 2011. [39] S. Cheng and Z. Wu, “Microfluidic electronics,” Lab Chip, vol. 12, no. 16, pp. 2782–2791, Apr. 2012. [40] T. Imura and Y. Hori, “Maximizing air gap and efficiency of magnetic resonant coupling for wireless power transfer using equivalent circuit and Neumann formula,” IEEE Trans. Ind. Electron., vol. 58, no. 10, pp. 4746–4752, Oct. 2011. [41] S. Cheng, A. Rydberg, K. Hjort, and Z. Wu, “Liquid metal stretchable unbalanced loop antenna,” Appl. Phys. Lett., vol. 94, no. 14, Apr. 2009, Art. no. 144103. [42] S. Cheng, Z. Wu, P. Hallbjorner, K. Hjort, and A. Rydberg, “Foldable and stretchable liquid metal planar inverted cone antenna,” IEEE Trans. Antennas Propag., vol. 57, no. 12, pp. 3765–3771, Dec. 2009. [43] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar Circuits Technol. Meeting, Minneapolis, MN, USA, Sep. 1991, pp. 188–191. [44] H. Hu, “Optimal and miniaturized strongly coupled magnetic resonant systems,” Ph.D. dissertation, Florida Int. Univ., Miami, FL, USA, Nov. 2016. [Online]. Available: http://digitalcommons.fiu.edu/cgi/ viewcontent.cgi?article=3967&context=etd [45] X. Y. Zhang, C.-D. Xue, and J.-K. Lin, “Distance-insensitive wireless power transfer using mixed electric and magnetic coupling for frequency splitting suppression,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4307–4316, Nov. 2017. [46] P. Si, A. P. Hu, S. Malpas, and D. Budgett, “A frequency control method for regulating wireless power to implantable devices,” IEEE Trans. Biomed. Circuits Syst., vol. 2, no. 1, pp. 22–29, Mar. 2008.
Elad Siman-Tov was born in Tel Aviv, Israel, in 1990. He received the A.A. degree (Hons.) in electrical engineering from Miami Dade College, Miami, FL, USA, in 2011, and the B.S. (summa cum laude) and M.S. degrees in electrical engineering from Florida International University (FIU), Miami, FL, USA, in 2015 and 2016, respectively. From 2014 to 2016, he was a Research Assistant with the Electro-Magnetics Laboratory, FIU. From 2017 to 2018, he was with the Sensors and Electron Devices Directorate, U.S. Army Research Laboratory, Adelphi, MD, USA. In 2018, he joined the Air and Missile Defense Sector, The Johns Hopkins University Applied Physics Laboratory Laurel, MD, USA, as a Radio Frequency Engineer. His current research interests include wireless power transfer, power harvesting, microwave circuits and systems, microwave photonics, and remote sensing.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
Victor Farm-Guoo Tseng received the Ph.D. degree in electrical and computer engineering from the University of Florida, Gainesville, FL, USA, in 2015, and the B.S. and M.S. degrees in electrical and computer engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2005 and 2007, respectively. From 2009 to 2010, he was with Texas Instruments Incorporated, Taipei, Taiwan, where he was a Product Engineer for the assembly and testing of the digital light processing products. Since 2015, he has been an Oak Ridge Associated University Post-Doctoral Fellow with the U.S. Army Research Laboratory, Adelphi, MD, USA. He has authored or co-authored 20 research papers. His current research interests include MEMS sensors and actuators, vibration energy harvesting, wireless power transfer (inductive and acoustic), microfabricated passives, and optical devices. Sarah S. Bedair received the B.S. degree (magna cum laude) in applied sciences and computer engineering from the University of North Carolina at Chapel Hill, Chapel Hill, NC, USA, in 2002, and the M.S. and Ph.D. degrees in electrical and computer engineering from Carnegie Mellon University, Pittsburgh, PA, USA, in 2004 and 2008, respectively. Her thesis work focused on CMOS MEMS-based chemical gravimetric sensors oscillators. In 2008, she was an Oak Ridge Associated University Post-Doctoral Fellow with the U.S. Army Research Laboratory, Adelphi, MD, USA, where she has been a Staff Researcher since 2009. She is currently a Team Lead with Microsystem Power Components. She has authored or co-authored more than 80 research publications. She holds six patents. Her current research interests include MEMS-based power devices for single-chip-scale power conversion and RF electronics.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Dr. Bedair was a recipient of the Phillip and Marsha Dowd-Institute for Complex Engineered Systems Fellowship and the James D. Crawford Award from the University of North Carolina at Chapel Hill, the 2010 Excellence in Federal Career-Technical and Scientific Rookie of the Year Award, and the 2009 Department of the Army Research and Development Achievement Award.
Nathan Lazarus received the B.S. degree in electrical engineering from the University of Pennsylvania, Philadelphia, PA, USA, in 2007, and the M.S. and Ph.D. degrees in electrical and computer engineering from Carnegie Mellon University, Pittsburgh, PA, USA, in 2010 and 2012, respectively. In 2012, he joined the U.S. Army Research Laboratory, Adelphi, MD, USA, where he is currently a Staff Researcher with the Sensors and Electron Devices Directorate. He has also served as a parttime faculty member for several semesters with George Washington University, Washington, DC, USA, where he is a Lecturer in microelectromechanical systems design. He has authored or co-authored 29 refereed journal publications. He holds 12 patents awarded or pending. His current research interests include stretchable electronics and microelectromechanical power devices.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Bootstrapped Rectifier–Antenna Co-Integration for Increased Sensitivity in WirelesslyPowered Sensors Jian Kang , Member, IEEE, Patrick Chiang, Senior Member, IEEE, and Arun Natarajan , Member, IEEE
Abstract— This paper addresses the challenge of increasing the sensitivity of wireless powering approaches to achieve targeted output voltage for wirelessly-powered sensors with the compact area. The design exploits a bootstrapping approach where the rectifier first stage and the antenna are reused to increase the rectifier output voltage following an initial charging phase. Area-constrained rectifier–antenna co-optimization results in a compact high- Q 2.4-GHz antenna with 1.2-cm2 area which is then used in two topologies [cross-coupled (CC) and cross-coupled pMOS (CP)] based on the proposed bootstrapping concept. Both topologies increase the rectifier output voltage with no additional off-chip inductors or capacitors. The CC/CP topologies and the associated logic are integrated into a 65-nm CMOS with the IC occupying 1.1 mm2 of the area. The CC topology achieves input sensitivity of −34.5 dBm for 1.6-V output voltage with RLOAD of 1.8 M, while the CP topology achieves −26.5-dBm input sensitivity with the 2.5-V output voltage and RLOAD of 250 k. These results confirm more than 2 × longer distance for wirelessly powering sensors compared to state of the art, with only 1.2 cm2 of the rectifier–antenna area. Index Terms— Antenna, cold start, Internet of Things (IoT), power management, RF energy harvesting, self-oscillating, sensitivity, wireless power transfer.
I. I NTRODUCTION
U
BIQUITOUS Internet-of-Things (IoT) applications often require low-cost miniature sensors with long lifetimes. The size, weight, and capacity of batteries and the costs/effort associated with battery replacement can often limit applications. RF energy harvesting from ambient signals or wireless powering from a beacon signal is attractive since it does not require additional components [1]–[13] and can enable wirelessly powered sensors with centimeter-scale dimensions [14]. Potentially, sensors can operate continuously if sufficient wireless power is available to sustain sensor operation enabling reliable and robust sensor power [15], [16]. However, if available power is insufficient, sensors can operate in a duty-cycled
Manuscript received April 11, 2018; revised July 2, 2018; accepted August 1, 2018. This work was supported in part by the U.S. Department of Agriculture, in part by the National Institute of Food and Agriculture, and in part by Texas Instruments Incorporated. (Corresponding author: Jian Kang.) The authors are with the School of Electrical Engineering and Computer Science, Oregon State University, Corvallis, OR 97330 USA (e-mail:
[email protected];
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2868077
Fig. 1. Block diagram of a wirelessly-powered sensor and its conceptual waveforms.
mode with a charging phase where the RF signal is rectified and energy is stored on a capacitor [17]. As shown in Fig. 1, the sensor operation is enabled when sufficient energy is stored on the capacitor, C L , which is equivalent to voltage, VRECT on C L exceeding a threshold, V H . Sensor operation depletes the capacitor and the sensor is disabled when VRECT falls below a threshold VL , returning the sensor to the charging state. The load current in the charging state is minimized since only low-power power-on-reset (POR) circuits are active. Hence, VRECT is maximized for a given incident RF power, thereby increasing RF powering range for a given transmitter (TX) effective radiated power. Wireless powering in the 2.4-GHz ISM band can enable applications where a large number of mobile devices with 2.4-GHz WiFi/Bluetooth TX can act as RF sources to power the sensor [18]–[22]. The FCC part 15 rules limit the maximum TX output power in 2400∼2483.5-MHz ISM bands at antenna input to 30 dBm. Directional antennas with permissible 6-dBi gain result in a TX effective isotropic radiated power (EIRP) of 36 dBm [23]. Path loss at 2.4 GHz (40 dB at 1 m) results in a reduced incident power on the sensor node. In the following, rectifier sensitivity is defined as the incident power to achieve a targeted rectifier output voltage (typically 1 V).
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Approaches to improve the rectifier sensitivity in the prior art include novel rectifier topologies and ultra low-power POR circuits [24] to reduce current loads during the charging phase. Co-designing an inductive antenna to directly resonate with the rectifier input can result in a passive voltage boost at rectifier input leading to an improved sensitivity of −27 dBm at 900 MHz for 1-V rectifier output with an antenna area of 12 cm2 [25]. However, the choice of an operating frequency leads to a large antenna area that is not well suited for miniature wirelessly-powered sensors. An areaconstrained approach to optimize rectifier–antenna co-design was presented in [26] to achieve −30.7-dBm sensitivity for 1-V output at 2.4 GHz with an antenna area of 1.3 cm2 . Further improvements in rectifier sensitivity are, however, limited by the targeted output voltage and the quality factor of the antenna–rectifier resonance. At low input power levels corresponding to sensitivity, the energy harvester output voltage can be insufficient for the operation of sensor circuits. A step-up dc–dc converter is often necessary to increase the harvester output voltage to >1 V. A boost dc–dc converter can be used for this voltage to convert the input voltage as low as 20 ∼ 70 mV with high conversion efficiency [27]. However, this requires a large off-chip inductor (22 μH) which limits the overall integration level and system form factor. Alternatively, a five-stage charge pump with passive clock boosting using on-chip transformer is proposed in [28] that can support the input voltage of 85 mV without extra off-chip components. However, the on-chip transformer leads to a large silicon area of 1.8 mm2 . A fully integrated self-oscillating voltage doubler/charge pump is presented in [29] that can operate under 0.25-V input voltage without a transformer but still requires an overall 0.86-mm2 silicon area. In this paper, we present a bootstrapping approach to improve rectifier sensitivity by reusing the rectifier and antenna to provide an active boost in addition to the passive boost, increasing the rectifier output voltage for a given input power. The step-up voltage conversion is realized by reconfiguring the system to generate above 1-V output voltage with no additional components or chip area, achieving the most cost-effective and compact size design. Section II presents the limits of antenna and rectifier co-design for sensitivity optimization. Section III introduces the proposed wireless-powering topologies capable of working under cold start condition. The self-oscillating mechanism is described in detail and two topologies for different loading scenarios as well as the corresponding control logic for passive and active boost switching are proposed. Section IV describes the measured performance of the wirelesslypowered energy harvester, demonstrating the state-of-the-art sensitivity under cold start condition. The overall performance is summarized in the context of state of the art in Section V. II. A NTENNA R ECTIFIER C O -D ESIGN FOR I MPROVED S ENSITIVITY The operating range of a wirelessly-powered sensor is limited by the minimum RF incident power required for wireless charging. The available RF power, PAV,RX , at a receiving antenna located at a distance, d, from the wireless power
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
source can be calculated from PAV,RX = EIRPTX
λ 4πd
2 G RX
(1)
where EIRPTX is the equivalent isotropic radiated power from the wireless power source, λ is the RF signal wavelength, and G RX is the receive antenna gain. In this paper, the 2.4-GHz ISM band is selected for wireless powering in order to balance the path loss and antenna size for targeted ∼1-cm2 area following the optimization approach in [17]. As discussed in [17] and [25], the antenna and rectifier codesign can provide a passive voltage boost that improves the rectifier output voltage. For a given incident power on the rectifier antenna in Fig. 2(a), the input voltage swing, V A , depends upon the radiation resistance, RRAD . In the absence of any active boost, the voltage at rectifier input, VSW depends upon the passive boost, which in turn is a function of the effective quality factor, Q EFF , of the antenna–rectifier resonance. For a given V A , VSW is given as VSW = Q EFF V A 1 1 1 = + Q EFF Q ANT Q RECT
(2) (3)
where Q ANT and Q RECT are the quality factors of antenna and rectifier, respectively. The final rectifier output voltage, VOUT,N,SS , is a function of the number of rectifier stages N, voltage swing VSW as well as the drop voltage V D in each rectifier stage and is given as VOUT,N,SS = N(VSW − V D ).
(4)
The drop voltage, V D , depends upon the topology, device technology, device size, and load current. While optimization across topology, threshold voltage, and size can lower V D , it is ultimately limited by the load current ILOAD which must be reduced to increase V D . Rectifier sensitivity can be defined to represent the minimum input power, PAV,RX,MIN , that must be available to the rectifier to achieve a target output voltage, VOUT,N,SS . The rectifier sensitivity is a function of the rectifier circuits as well as the antenna parameters and is given as [17] 2 1 VOUT,N,SS + V D . (5) PAV,RX,MIN = N 8RRAD Q 2EFF In [17] and [26], a systematic antenna–rectifier co-design approach was developed under area constraints which was able to achieve −30.7-dBm sensitivity for 1-V output with antenna area of only 1.3 cm2 at 2.4 GHz. It also developed a simplified rectifier modeling approach that enabled optimization across rectifier device size, number of stages, and frequency of RF beacon for a given antenna area. This translates to 20-m range assuming an FCC maximum 36-dBm EIRP at 2.4 GHz. In both this paper and the prior work, the antenna presents a high quality factor (Q ANT ∼ 130) inductive impedance at the beacon frequency and resonates with the rectifier. However, the effective quality factor, Q EFF , in (3) is limited to ∼76 by the quality factor achievable given rectifier technology(Q RECT ∼ 185). Therefore, other techniques must be incorporated to further improve sensitivity
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KANG et al.: BOOTSTRAPPED RECTIFIER–ANTENNA CO-INTEGRATION FOR INCREASED SENSITIVITY
3
Fig. 2. Proposed self-oscillating rectifier. (a) Challenges for adding active boost on passive RF energy harvesting. (b) Loop antenna and self-VTH cancelation rectifier. (c) High-Q inductor as a resonator and CC oscillator.
to increase the wireless powering range. The external bias or pre-charging can improve the sensitivity but sensors must be able to operate in scenarios with long gaps between wireless powering. Notably, sensitivity optimization under cold start is a key metric for maximizing the range while ensuring a fully self-sustained sensor operation.
co-design approach leads to an inductive antenna that presents a high Q impedance. Therefore, we can reuse the antenna as the inductor in this topology leading to a higher Q resonator than that obtained with an on-chip inductor.
III. S ELF -O SCILLATING A PPROACH FOR I MPROVED S ENSITIVITY FROM C OLD S TART
Based on these observations, we develop the CC self-oscillating rectifier topology as shown in Fig. 3. At the cold start in Phase 1, the N-stage rectifier resonates with the antenna creating a passive voltage boost that depends on Q EFF as in (2). Initially, switch S1 is closed and S2 is open and the rectifier stores energy in the off-chip capacitor, C1, and on-chip capacitor, C2. When VRECT exceeds a threshold voltage, V H , the switch logic generates EN1 that activates Phase 2 by enabling S2 and disabling S1. In this mode, the first stage acts as a negative-gm cell with the antenna functioning as the resonator. This results in a higher voltage swing of V P and VN which are the inputs to the remaining rectifier stages. Low-VTH devices are used for rectifiers and negative-gm cell for better subthreshold region conduction under low-voltage operation. Note that since the first rectifier stage is grounded, a dc-blocking capacitor C B is not required at its input. The higher VSW leads to a higher VRECT that exceeds VTARGET. Consequently, the switch logic generates EN2 that closes S3 and the higher VRECT is available to the load (represented by R L ). As shown in the timing diagram in Fig. 4, the voltage on VC1 falls during Phase 2 and the harvester transitions back to Phase 1 by disabling EN1 and EN2 when VC1 is
Adding an active boost following the antenna can increase the voltage swing VSW at rectifier input, improving rectifier sensitivity, as shown in Fig. 2(a). However, this active boost stage: 1) must be compatible with cold start where all nodes are at zero voltage and current and 2) must not increase the rectifier load in the charging state which will reduce the output voltage, thereby offsetting any gains from the active boost. In this paper, no auxiliary supply is assumed and approaches to improve the cold start sensitivity without any additional components are proposed. A. Operating Principles The self-VTH cancelation topology in Fig. 2(b) is a popular rectifier building block since it provides improved sensitivity [25]. This topology is equivalent to an RF to dc converter that can be redrawn as the negative-gm cell in a cross-coupled (CC) oscillator as shown in Fig. 2(c). In the case of an oscillator, conversion from dc to RF occurs with the help of the resonator. While on-chip inductors can be used to complete this oscillator, we observe that the antenna–rectifier
B. Cross-Coupled Topology
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
Fig. 3.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Proposed self-oscillating rectifier CC topology block diagram.
Fig. 5.
Fig. 4. Conceptual waveforms for CC topology passive and active charging phases.
lower than a threshold, VL . Over time, the rectifier operates in Phases 1 and 2 with the duty cycle determined by the size of C1 and the available wireless input power. In order to achieve better sensitivity from the cold start, the rectifier must be able to achieve a targeted output voltage in Phase 2 with a smaller input power. Since the incident power necessary is only that required to achieve V H while being loaded by
Simulated loop antenna gain at 2.4 GHz.
the switch logic circuit, the proposed approach improves the rectifier sensitivity with respect to cold start while using no external components other than antenna and storage capacitor. In this design, V H is determined by the minimum voltage needed to make reliable logic decisions to transition between phases which is ∼450 mV in this implementation. The antenna and rectifier are co-designed using the algorithm proposed in [17]. The loop antenna is fabricated on a 10-mil-thickness Rogers-4350B substrate to reduce high frequency loss compared with a regular FR4 board. A shunt bar is added in the loop for antenna impedance adjustment. Fig. 5 illustrates the adopted loop antenna and 3-D gain pattern. The simulation shows a 0.8-dBi maximum gain and 90% efficiency. It is modeled as an equivalent antenna inductor, L ANT , of 19 nH in series with equivalent antenna resistance, RANT , of 2.3 . The antenna has a high quality factor of 120 at 2.4 GHz and the equivalent shunt resistance is derived to be 35 k from R P,ANT =
(ωL ANT )2 . RANT
(6)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KANG et al.: BOOTSTRAPPED RECTIFIER–ANTENNA CO-INTEGRATION FOR INCREASED SENSITIVITY
Fig. 7.
Fig. 6.
Negative resistance from CC pair across bias voltage VC1 .
In the design, an 820-fF dc blocking cap C B is chosen to balance the ac signal attenuation and parasitic capacitance (shown in Fig. 3). Since the blocking capacitor C B of the first stage is not necessary given all other stages are self-dc biased with their C B , it can be removed. The associated parasitic capacitance will also be eliminated, which is in the order of 40 fF (5% of C B ). Consequently, the first stage M1 ∼ M2 width can be increased to 10 μm and M3 ∼ M4 width can be increased to 6 μm while ensuring resonance at 2.4 GHz. A larger device size of M1 ∼ M4 is more beneficial for oscillation startup with low supply voltage. The magnitude of equivalent shunt negative resistance, |RNEG |, from CC pair M1, 2 as well as M3, 4 should be no larger than R P,ANT to sustain stable oscillation during Phase 2 active boost. Fig. 6 shows RNEG across different supply voltages for the size-increased CC pairs, indicating that |RNEG | varies from 6 to 20 k when bias VC1 drops from 450 to 350 mV. This ensures that during Phase 2 active boosting, |RNEG| < R P,ANT will always be guaranteed to maintain oscillation. The sensitivity for conventional multiple stage rectifiers to achieve >1-V VRECT is ∼−30 dBm. With the proposed topology, the minimum VRECT required to trigger active boost reduces to 0.45 V and, hence, improves the corresponding sensitivity to −34.5 dBm. C. Power Management Unit The power management unit (PMU) circuits that control the transitions between Phases 1 and 2 are detailed in Fig. 3. Thick gate-oxide transistors (tox = 5.6 nm) are utilized for switches and logic to minimize leakage current. PMU consumes 250 nW at 1 V, presenting an effective load of 4 M. Initially, at cold start, EN1 is low and the system works in a passive boost mode. Implementing S1 using pMOS ensures S1 is enabled at the cold start. A low power comparator COMP1 compares a scaled voltage of the rectifier output VRECT from resistive divider ladder R2, R3, and R4 against another branch controlled by a diode D1 to toggle EN1 from
5
Proposed self-oscillating rectifier CP topology block diagram.
low to high. This triggers the transition from Phase 1 passive boost to Phase 2 active boost by disabling S1 and enabling S2. A level shifter following COMP1 ensures that the highest voltage in the system is applied to the nMOS switch gates to reduce switch loss. A low power bandgap block is utilized for reference generation. Subthreshold biasing reduces bandgap power consumption to sub-300 nA. The output of the bandgap is given as [30] R1 + R2 + R3 ζ VT ln n. (7) VBG = VGS9 + R2 The output reference voltage can be adjusted by changing the ratio of resistance and is set to 0.49 V in this design. In Fig. 3, comparator COMP2 toggles to high when the scaled VRECT exceeds VREF from bandgap. Hence, buffered EN2 with the voltage level of VRECT is applied to switch S3. The highest voltage VRECT is now available at output R L . While the CC topology is able to sustain the 1-V VTARGET where the rectifier load current is in the order of μA, some applications lead to higher load currents. Increasing CC transistor size can support this but the increased capacitance at the rectifier input lowers the inductance required for resonance and degrades sensitivity [17]. In the following, an alternative topology to support higher load current is proposed. D. Cross-Coupled pMOS Topology As shown in Fig. 7, two cross-coupled pMOS (CP) pairs M1–M2 as well M3–M4 are added to the rectifier. Stronger active boost is achieved with the help of large M3 and M4. Since only pMOS transistors are used, the dc path to ground must be provided. This is done through the antenna by grounding the point of symmetry with respect to differential inputs. The timing diagram for Phases 1, 2a, and 2b are demonstrated in Fig. 8. In Phase 1, only switch S1 is enabled. Antenna and rectifier together provide passive voltage boost to amplify V A to larger VSW . The large transistors M3 and M4 are isolated from the rectifier input by switches S6 and S7 to avoid center frequency shift and sensitivity degradation due to extra parasitic capacitance. M1 and M2 load the rectifier input but since these are smaller devices, sensitivity degradation is minimal. When the voltage on cap C1, VC1, is higher than the threshold V H , on-chip logic disables S1 and enables S2.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 8. Conceptual waveforms for CP topology passive and active charging phases. Fig. 10. Die photograph of self-oscillating CC and CP topology implemented in 65-nm CMOS.
performance adversely. Given S6 and S7 should be open under cold start, nMOS is chosen for switch implementation. However, since antenna is dc biased at 0 V, VSW at node V P and VN is less than 0 V for half cycle. Hence, S6 and S7 will become diode connected and pull voltage at source and drain nodes of M3 and M4 lower than 0 V if voltage swing at the rectifier input port, VSW , and the threshold voltage of S6 and S7, VTH , satisfies VSW + VTH < 0.
Fig. 9. Switch S5 ON-resistance and off-leakage current across device type and width.
Now, the pMOS CC pair M1 and M2 together with loop antenna provides the active boost, resulting in a larger voltage swing at VSW which further increases VRECT . This stage is defined as Phase 2a. As VRECT rises further due to the active boost, the PMU enables S5, S6, and S7 and consequently, the pMOS CC pair M3 and M4. The increased voltage swing further boosts VRECT to higher voltage while the load is connected to the rectifier output through S3. This stage is defined as Phase 2b. The output voltage at VRECT remains high until VC1 is lower than the threshold level, VL (∼350 mV). Subsequently, the rectifier returns to Phase 1 again with the passive boost triggering another operating cycle. Similar to CC topology, the threshold voltage V H and VL are selected to ensure proper logic switching from passive boost to active boost and are chosen as 450 and 350 mV, respectively. While switches S6 and S7 prevent the larger sized pMOS CC pair M3 and M4 from loading the rectifier–antenna interface in Phases 1 and 2a, they also affect energy harvesting
(8)
As a result, there would be a current path from VC1 to M3 and M4 which reduces the maximum VC1 for a given VSW . Adding another switch S5 can reduce this parasitic conduction effect by isolating the current path from VC1 to VS5 . However, S5 switch size needs to balance the tradeoff between its OFF -state reverse leakage current ILEAK and ON -state voltage drop due to ON-resistance RON of switch S5. Fig. 9 plots ILEAK and R0ON of switch S5 across different device types and sizes under VS5 of 400 mV. Although a low-VTH device can provide the lowest RON from 0.8 to 0.2 k when device width varies from 10 to 30 μm, ILEAK increases from 200 to 600 nA, which equals to a load of 2∼ 0.7 M. This extra M loading will inevitably degradate the passive sensitivity in Phase 1 from −34.5 to −27.5 dBm in order to achieve VRECT of 0.45 V. On the other hand, the high-VTH device with 26 μm width provides RON of 1.8 M and ILEAK of 40 nA, which is similar to a regular-VTH device with 12 μm width. Given the lower leakage current, high-VTH devices are used to implement S5. Overall, the sensitivity during Phase 1 passive boost is ∼−29 dBm for achieving 0.45-V VRECT under 1.8 M, and Phase 2b active boost is able to drive VRECT to ∼2.5 V with a load current of 25 μA. IV. M EASUREMENTS The 2.4-GHz self-oscillating rectifier–antenna and on-chip logic for switch control are implemented in the 65-nm
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KANG et al.: BOOTSTRAPPED RECTIFIER–ANTENNA CO-INTEGRATION FOR INCREASED SENSITIVITY
7
Fig. 11. Measured performance for CC topology. (a) VRECT and VC1 from cold start. (b) Zoomed-in VRECT transients during Phases 1 and 2. (c) VRECT across available RF input power.
Fig. 12.
Measured CC topology sensitivity across frequency.
CMOS technology. The die occupies a 1.1-mm2 area as shown in Fig. 10 and a compact 6.2-mm radius PCB loop antenna is adopted for rectifier–antenna co-design. The off-chip tantalum capacitor C1 has a footprint of 4 mm × 2.7 mm on PCB. The rectifier measurement setup is first calibrated using two antennas to calculate the power available to an isotropic antenna at rectifier location [17]. After calibration, the rectifier output voltage is measured across input power, frequency, and rectifier load. A. CC Topology The measured charging transients for CC topology are shown in Fig. 11(a) and (b). VRECT is the output voltage on the load and VC1 is the voltage at off-chip capacitor C1. The wireless power source results in VRECT and VC1 increasing from the cold start. Once VC1 is higher than the threshold (programmable), Phase 2 is triggered. VC1 then starts to drop as self-oscillation starts to extract energy from C1. Meanwhile, VRECT increases rapidly due to higher input swing. Note that the duration of Phase 2 depends on C1 capacitance. CC topology sensitivity is measured by determining the minimal RF power required to trigger self-oscillation that
Fig. 13. Measured VRECT for different RF available power at input across external bias VC1 .
boosts VRECT > 1 V. As shown in Fig. 11(c), the rectifier output exceeds 1 V in Phase 2 for incident power as low as −34.5 dBm. This sensitivity is constrained by the threshold on VC1(0.45 V) to ensure the functioning of on-chip logic. Sensitivity across frequency is measured by determining the minimum incident power for VRECT > 1 V. Fig. 12 demonstrates better than −31-dBm sensitivity across frequency in the 2400∼2483.5-MHz ISM bands. Since the measured sensitivity is constrained by the minimum required VC1 for a proper logic function, it is useful
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I R ECTIFIER P ERFORMANCE C OMPARED TO S TATE OF THE A RT
Fig. 14. Measured CC topology cold start and self-oscillating triggering over different RF available power levels. RF power at (a) −31 dBm and (b) −33 dBm.
to measure the minimum VC1 to initiate the active boost to extrapolate performance without logic level constraints. In Fig. 13, an explicit voltage source is applied to the first rectifier stage output node VC1 and the minimum input power required to achieve the targeted output voltage is measured. This emulates Phase 2 operation in the CC rectifier. As shown in the figure, self-oscillation boost under those three given power levels is triggered with VC1 around 250–350 mV, which confirms that the sensitivity for VRECT exceeding 1 V can be further improved if lower logic levels are allowed. For example, sub −40-dBm sensitivity could be achieved if threshold V H can be set to 330 mV while ensuring a proper switch logic function.
Fig. 15. Measured transient waveform of (a) VRECT and VC1 and (b) Zoomed-in of VRECT during Phases 1 and 2.
The measured time-domain waveforms in Fig. 14 show a wireless charging capability of the proposed CC topology from the cold start under RF power levels of −31 and −33 dBm in Fig. 14(a) and (b), respectively. A higher RF power level
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KANG et al.: BOOTSTRAPPED RECTIFIER–ANTENNA CO-INTEGRATION FOR INCREASED SENSITIVITY
9
Fig. 16. Measured performance for CP topology. (a) VRECT across available input power. (b) Sensitivity across frequency at VRECT = 2.5 V. (c) Sensitivity across RLOAD to ahieve VRECT of 2.5 V for CP topology.
ensures a faster charging on C1 such that VC1 exceeds the preset threshold V H (0.45 V) earlier. Similarly, after VC1 drops below VL at the end of the active boost phase, C1 is recharged faster with a higher RF power level, which results in the more frequent triggering of Phase 2 voltage boost on VRECT .
B. CP Topology Transient measurements of the CP structure is shown in Fig. 15. Similar to CC topology, it is also measured from the cold start condition. Once VC1 exceeds the preset programmable threshold, active boost Phases 2a and 2b are triggered and VRECT is boosted to 2.5 V. Zoomed-in VRECT shows Phase 2a active boost following passive boost Phase 1. As VRECT further increases, stronger Phase 2b active boost is triggered and the voltage VRECT charges to 2.5 V. The sensitivity of CP topology is measured in a similar fashion as CC topology. As expected, the loading due to pMOS CC pair M1–M2 degrades sensitivity compared to the CC topology. Fig. 16(a) shows the measured −29.1-dBm sensitivity for a 1.8-M load that achieves a VRECT of 2.5 V. Sensitivity across frequency for CP topology is measured by determining the minimum required incident power for triggering VRECT to exceed 2.5 V. As shown in Fig. 16(b), the frequency corresponding to the minimum sensitivity is lower compared with CC topology. This can be traced back to additional parasitic capacitances from switches S6, S7, and longer routing traces which results in a lower resonance frequency. Rectifier performance across different rectifier load currents is also measured for CP topology by varying the load from 100 k to 1 M [Fig. 16(c)]. As the equivalent load decreases, the RF input power required for reaching VRECT of 2.5 V increases as expected. The sensitivity of −26.5 dBm can still be achieved with 250-k load, demonstrating support for a 10-μA load current. A stand-alone efficiency of CP topology in Phase 2b is measured by applying an external bias at VC1 while varying R L . As shown in Fig. 17, the peak efficiency of 27.7% is observed for R L of 5 k and VC1 of 0.6 V with VRECT achieving 2.2 V.
Fig. 17. Measured VRECT and efficiency across different external bias VC1 for CP topology.
Rectifier performance is also evaluated in a practical environment which in this case is a conference room with 5 × 3 m dimensions shown in Fig. 18. Sensitivity across different locations in the conference room is measured for CC topology as shown in Fig. 19. The results demonstrate that the sensor can be powered up in all measured positions without violating FCC standards and can enable wirelessly-powered sensor applications. The measured performance is compared to state of the art in Table I. The proposed approach achieves significantly
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
distance for wirelessly powering sensors, with only 1.2 cm2 of the rectifier–antenna area. ACKNOWLEDGMENT The authors would like to thank Dr. Y. Ramadass for technical discussions. R EFERENCES
Fig. 18.
Sensitivity measurement setup in a typical conference room.
Fig. 19. Measured sensitivity across different location in a conference room achieving VRECT of 1.6 V.
improved sensitivity in the CC topology when compared to other topologies for similar operating currents without adding any additional off-chip components to the circuit. Similarly, the measured performance of the CP topology indicates a higher output steady-state voltage for higher load currents. V. C ONCLUSION In conclusion, this paper presented an area-efficient, rectifier–antenna, co-design exploiting a topology that reuses the antenna as a resonator and rectifier as a CC transconductance to increase the rectifier output voltage. Two designs based on this concept were detailed. First, the CC topology achieves the 1.6-V output voltage with −34.5-dBm sensitivity on a load resistance of 1.8 M. Second, the CP topology achieves the 2.5-V output voltage with −26.5-dBm sensitivity on a 250-k load. The proposed design improves rectifier sensitivity under cold start by 5×, translating to a 2× longer
[1] J. Kimionis, A. Collado, M. M. Tentzeris, and A. Georgiadis, “Octave and decade printed UWB rectifiers based on nonuniform transmission lines for energy harvesting,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4326–4334, Nov. 2017. [2] J. Leicht and Y. Manoli, “Autonomous electromagnetic vibration energy harvester interface IC with conduction-angle-controlled MPPT and up to 0.95 efficiency,” IEEE J. Solid-State Circuits, vol. 52, no. 9, pp. 2448–2462, Sep. 2017. [3] M. Nariman, F. Shirinfar, A. P. Toda, S. Pamarti, A. Rofougaran, and F. D. Flaviis, “A compact 60-GHz wireless power transfer system,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 8, pp. 2664–2677, Aug. 2016. [4] J. Yoo, L. Yan, S. Lee, Y. Kim, and H.-J. Yoo, “A 5.2 mW selfconfigured wearable body sensor network controller and a 12 μW wirelessly powered sensor for a continuous health monitoring system,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 178–188, Jan. 2010. [5] K. R. Sadagopan, J. Kang, S. Jain, Y. Ramadass, and A. Natarajan, “A 365nW −61.5 dBm sensitivity, 1.875 cm2 2.4 GHz wake-up receiver with rectifier-antenna co-design for passive gain,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), Jun. 2017, pp. 180–183. [6] H. Bhamra et al., “A 24μ W, Batteryless, Crystal-free, multinode synchronized SoC ‘Bionode’ for wireless prosthesis control,” IEEE J. Solid-State Circuits, vol. 50, no. 11, pp. 2714–2727, Nov. 2015. [7] A. Liberale, E. Dallago, and A. L. Barnabei, “Energy harvesting system for wireless body sensor nodes,” in Proc. IEEE Biomed. Circuits Syst. Conf. (BioCAS), Oct. 2014, pp. 416–419. [8] Y.-J. Huang et al., “A self-powered CMOS reconfigurable multi-sensor SoC for biomedical applications,” IEEE J. Solid-State Circuits, vol. 49, no. 4, pp. 851–866, Apr. 2014. [9] K. Raghavan, J. Kang, and A. Natarajan, “Education session 4—Low Power IoT wireless powering for ultra low power batteryless IoT sensing and communication,” in Proc. IEEE Custom Integr. Circuits Conf. (CICC), Apr. 2018, pp. 1–40. [10] Z. Safarian and H. Hashemi, “A wirelessly-powered passive RF CMOS transponder with dynamic energy storage and sensitivity enhancement,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), Jun. 2011, pp. 1–4. [11] J. Kang, “Wirelessly powered cm-scale sensor for small insect localization application,” Ph.D. dissertation, School Elect. Eng. Comput. Sci., Oregon State Univ., Corvallis, OR, USA, 2018. [12] H. Reinisch et al., “An electro-magnetic energy harvesting system with 190 nW idle mode power consumption for a BAW based wireless sensor node,” IEEE J. Solid-State Circuits, vol. 46, no. 7, pp. 1728–1741, Jul. 2011. [13] S. Mandal and R. Sarpeshkar, “Low-power CMOS rectifier design for RFID applications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 6, pp. 1177–1188, Jun. 2007. [14] J. Kang, S. Rao, P. Chiang, and A. Natarajan, “Area-constrained wirelessly-powered UWB SoC design for small insect localization,” in Proc. IEEE Top. Conf. Wireless Sensors Sensor Netw. (WISNET), Jan. 2016, pp. 18–20. [15] A. Yakovlev, D. Pivonka, T. Meng, and A. Poon, “A mm-sized wirelessly powered and remotely controlled locomotive implantable device,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2012, pp. 302–304. [16] Y.-T. Liao, H. Yao, A. Lingley, B. Parviz, and B. P. Otis, “A 3-μ WCMOS glucose sensor for wireless contact-lens tear glucose monitoring,” IEEE J. Solid-State Circuits, vol. 47, no. 1, pp. 335–344, Jan. 2012. [17] J. Kang, S. Rao, P. Chiang, and A. Natarajan, “Design and optimization of area-constrained wirelessly powered CMOS UWB soc for localization applications,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1042–1054, Apr. 2016. [18] J. Bito, R. Bahr, J. G. Hester, S. A. Nauroze, A. Georgiadis, and M. M. Tentzeris, “A novel solar and electromagnetic energy harvesting system with a 3-D printed package for energy efficient Internet-ofThings wireless sensors,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1831–1842, May 2017.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KANG et al.: BOOTSTRAPPED RECTIFIER–ANTENNA CO-INTEGRATION FOR INCREASED SENSITIVITY
[19] M. Del Prete, A. Costanzo, A. Georgiadis, A. Collado, D. Masotti, and Z. Popovi´c, “A 2.45-GHz energy-autonomous wireless power relay node,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4511–4520, Dec. 2015. [20] S.-E. Adami et al., “A flexible 2.45-GHz power harvesting wristband with net system output from −24.3 dBm of RF power,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 1, pp. 380–395, Jan. 2018. [21] K. R. Sadagopan, J. Kang, Y. Ramadass, and A. Natarajan, “A 960 pW co-integrated-antenna wireless energy harvester for WiFi backchannel wireless powering,” in IEEE Intl. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2018, pp. 136–138. [22] M. Stoopman, K. Philips, and W. A. Serdijn, “An RF-powered DLLbased 2.4-GHz transmitter for autonomous wireless sensor nodes,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 7, pp. 2399–2408, Jul. 2017. [23] M. Loy, R. Karingattil, and L. Williams. (May 2005). ISM-Band and Short Range Device Regulatory Compliance Overview. [Online]. Available: http://www.ti.com/lit/an/swra048/swra048.pdf [24] J. Kang, P. Y. Chiang, and A. Natarajan, “21.6 A 1.2cm2 2.4 GHz selfoscillating rectifier-antenna achieving −34.5 dBm sensitivity for wirelessly powered sensors,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Jan. 2016, pp. 374–375. [25] M. Stoopman, S. Keyrouz, H. J. Visser, K. Philips, and W. A. Serdijn, “Co-design of a CMOS rectifier and small loop antenna for highly sensitive RF energy harvesters,” IEEE J. Solid-State Circuits, vol. 49, no. 3, pp. 622–634, Mar. 2014. [26] J. Kang, P. Chiang, and A. Natarajan, “A 3.6 cm2 wirelessly-powered UWB SoC with −30.7 dBm rectifier sensitivity and sub-10 cm range resolution,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), May 2015, pp. 255–258. [27] S. Bandyopadhyay and A. P. Chandrakasan, “Platform architecture for solar, thermal, and vibration energy combining with MPPT and single inductor,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2199–2215, Sep. 2012. [28] H. Fuketa, Y. Momiyama, A. Okamoto, T. Sakata, M. Takamiya, and T. Sakurai, “An 85-mV input, 50-μs startup fully integrated voltage multiplier with passive clock boost using on-chip transformers for energy harvesting,” in Proc. Eur. Solid State Circuits Conf. (ESSCIRC), Sep. 2014, pp. 263–266. [29] W. Jung et al., “An ultra-low power fully integrated energy harvester based on self-oscillating switched-capacitor voltage doubler,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 2800–2811, Dec. 2014. [30] L. Xia, J. Cheng, N. E. Glover, and P. Chiang, “0.56 V, −20 dBm RF-powered, multi-node wireless body area network system-on-a-chip with harvesting-efficiency tracking loop,” IEEE J. Solid-State Circuits, vol. 49, no. 6, pp. 1345–1355, Jun. 2014.
Jian Kang (S’15–M’18) received the B.S and M.S. degrees in electrical engineering from Shanghai Jiao Tong University, Shanghai, China, in 2010 and 2013, and the Ph.D. degree in electrical engineering from Oregon State University, Corvallis, OR, USA, in 2018. His current research interests include wireless radio frequency integrated circuit transceivers, low-power bio-sensors, energy harvesting, localization, and antenna design.
11
Patrick Chiang (S’99–M’04–SM’15) received the B.S. degree in electrical engineering and computer sciences from the University of California at Berkeley, Berkeley, CA, USA, and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, USA. He is currently a 1000-Talents Young Professor with Fudan University, Shanghai, China, and a tenured Associate Professor with Oregon State University, Corvallis, OR, USA (on leave). He is a co-founder of the fabless-IC startup PhotonIC Technologies, Shanghai. He leads an international team in both China/USA on energy-efficient microelectronics, including optical transceivers and wearable biosensors-on-a-chip. He has authored or co-authored more than 130 conference/journal publications. Dr. Chiang was a recipient of the 2010 Department of Energy Early Career Award and the 2012 NSF CAREER Award for energy-efficient interconnects and robust near-threshold computing. He is on the CICC and ASSCC TPCs.
Arun Natarajan (S’03–M’07) received the B.Tech. degree in electrical engineering from IIT Madras, Chennai, India, in 2001, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 2003 and 2007, respectively. From 2007 to 2012, he was a Research Staff Member with the IBM T. J. Watson Research Center, New York, NY, USA, where he was involved in millimeter-wave (mm-wave) phased arrays for multi-Gb/s data links and airborne radar and on self-healing circuits for increased yield in sub-micrometer process technologies. In 2012, he joined Oregon State University, Corvallis, OR, USA, as an Assistant Professor with the School of Electrical Engineering and Computer Science. His current research interests include RF, mm-wave and sub-mm-wave integrated circuits, and systems for high-speed wireless communication and imaging. Dr. Natarajan was a recipient of the National Talent Search Scholarship from the Government of India from 1995 to 2000, the California Institute of Technology Atwood Fellowship in 2001, the Analog Devices Outstanding Student IC Designer Award in 2004, the IBM Research Fellowship in 2005, the 2011 Pat Goldberg Memorial Award for the Best Paper in computer science/electrical engineering/mathematics at IBM Research. He serves on the Technical Program Committee of the IEEE Radio frequency Integrated Circuits Conference, the IEEE Compound Semi-Conductor IC Symposium, and the 2013 IEEE International Microwave Symposium.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A Linear Synthetic Focusing Method for Microwave Imaging of 2-D Objects Tayebeh Gholipur , Mansor Nakhkash , and Mohammad Zoofaghari
Abstract— This paper presents a linear synthetic focusing method (SFM) in order to mitigate the drawbacks of the classical multiple signal classification (MUSIC) algorithm for microwave imaging (MI). The determination of noise subspace dimension for MUSIC algorithm is a challenge when imaging an extended target. That is because an extended target comprises many point scatterers and a practical MI system provides an incomplete multistatic response (IMSR) matrix. The SFM obtains a focused multistatic response matrix for each location in the imaging region, which contains, mostly, the scattered field of a point scatterer at that location. In this way, the signal subspace is reduced to the one for a point scatterer, whose singular values are well-separated from those of the noise subspace. The proposed SFM is applied to the breast cancer detection using synthetic data. It is also applied to the experimental data given by Institute Fresnel to test the SFM for scenarios other than biomedical uses. It is shown that the SFM provides superior results over the classical MUSIC algorithm, especially for extended targets and IMSR matrices. Index Terms— Extended target, focusing method, incomplete multistatic response (IMSR) matrix, microwave imaging (MI), multiple signal classification (MUSIC) algorithm.
I. I NTRODUCTION
M
ICROWAVE imaging (MI) is an emerging technology developed by many researchers during the past few decades. In an MI system, a target is illuminated by several transmitting antennas and the scattered field is measured at various receiver locations. By processing the measured data, unknown properties of the object are found in an inverse manner. The linear inverse scattering methods [1]–[11] help to overcome the heavy computational burden posed in nonlinear inverse scattering methods [12]–[14]. Time-reversal (TR) multiple signal classification (TR-MUSIC) as a linear inversion technique has been proposed for super-resolution imaging [2]–[9]. It is based on the orthogonality of signal and noise subspaces of the multistatic response (MSR) matrix obtained from an object under test in an MI system [5]. So far, MUSIC algorithm has been utilized by several researchers [2]–[10]. Yousefnia et al. [1] suggest a modified Manuscript received December 11, 2017; revised March 31, 2018 and June 2, 2018; accepted July 3, 2018. (Corresponding author: Mansor Nakhkash.) The authors are with the Department of Electrical Engineering, Yazd University, Yazd 89195-741, Iran (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2860955
background Green’s function and suitable spiral antennas for TR imaging of a breast cancer tumor. Using TR method known under the French acronym DORT-algorithm, they process the signals due to scattering from a breast phantom. In their TR algorithm, the dimension of signal subspace corresponds to the number of dominant singular values. In [2], a noniterative MUSIC algorithm is employed for identifying the locations/shapes of dielectric anomalies from the measured S-parameters. In order to estimate the signal subspace, Niea et al. [3] establish a uniform cost function, exploiting a set of spatial-temporal correlation matrices, and optimizes the cost function by a cyclic algorithm. Yong et al. [4] use the MUSIC algorithm to find the angle of arrival, and a new asymptotic error variance bound is derived by preprocessing the transmitted signal, based on channel information. Hou et al. [6] and Marengo et al. [7] propose a method to image an extended target. Hou et al. [6] investigate how the singular values of the MSR matrix relate to the geometrical and propagation characteristics of the entire transmitter– target–receiver system to specify the signal subspace. In [7], the signal subspace dimension is determined based on a well-defined gap between “large” and “small” singular values of a complete MSR (CMSR) matrix. Some papers [8], [9] assume the dimension of noise subspace is a priori known and the algorithm, only, detects target locations. Vincent et al. [10] introduce a simplified general (SG) statistical analysis of MUSIC (SG-MUSIC) in low sample support. The SG-MUSIC criterion can be interpreted as a bias correction of the conventional MUSIC localization function. In [15], the recursively applied and projected (RAP) MUSIC approach has been proposed to obtain noise subspace dimension and targets location. RAP-MUSIC needs a threshold value for noise subspace selection, which undesirably depends on the target and measurement setup configuration. Akaike information criterion [16] and the minimum description length (MDL) [17] are exploited to detect the signal subspace dimension. Fazli et al. [18] present a method to estimate the location and number of actual point targets, which is motivated by the exact maximum likelihood estimation of signal parameters in a white Gaussian noise. The method uses the MUSIC algorithm to acquire all possible target locations and derives an analytical formula to determine those locations associated with real targets. Fazli et al. [19] utilize a procedure to establish a complete version of the MSR matrix from an incomplete one. They use a least square method to estimate the unknown elements of the MSR matrix, associated with inactive receivers. The procedure
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
that has been proposed in [18] and [19] do not support the extended targets. In [20], the application of coherent focusing for multiple tumors detection in a 3-D dense breast phantom is presented. In this paper, a novel coherent-beam space-TRmaximum likelihood technique is suggested to find the tumor locations, accurately, and to reduce the computational burden. In practice, we may deal with an incomplete MSR (IMSR) matrix since some receivers in an array are inactive for a corresponding transmitter illumination. Furthermore, many imaging setups are aimed at characterization of an extended target as a collection of numerous point scatterers [6], [7]. IMSR matrices and extended targets do not give a well-determined gap in the singular values spectrum. This makes a significant challenge in dimension selection of noise subspace that is not, appropriately, addressed by the aforementioned papers. In this paper, a single frequency, linear synthetic focusing method (SFM) is introduced. The SFM makes MUSIC algorithm be adopted to the cases with no well-determined gap in the singular value spectrum of MSR matrix. At first, a linear transformation on the MSR matrix is performed to focus the MSR data on a specific location of investigation domain. Consequently, two new matrices are obtained that, mostly, contain a scattered field of a point target at that location. Signal subspace dimension of these two matrices is the same as that of MSR matrix of a point target, which would be well determined, and the MUSIC algorithm could be, simply, applied to these matrices. This procedure is repeated for all coordinates in the investigation domain to complete the imaging process. Applying the SFM and the classical MUSIC to numerical and real experimental examples, the SFM improves, considerably, the quality of reconstructed images in comparison with the MUSIC algorithm. In the following, Section II provides a mathematical framework of MSR matrix and a preliminary review of MUSIC algorithm. In Section III, the new SFM is introduced and formulated. We give some numerical examples for the applications of the SFM in breast cancer imaging in Section IV. In Section V, the merits of the new technique are verified using the experimental data of a standard structure given by Institute Fresnel. II. M ATHEMATICAL F RAMEWORK OF MSR M ATRIX AND MUSIC A LGORITHM In this paper, the imaging algorithm is formulated for 2-D geometry. The imaging region D contains several small scatterers at Xm , m = 1, . . . , M, illuminated by transmitters at Nt distinctive locations and the scattered electric field is measured by receivers at Nr distinctive locations. By adopting the framework of the Foldy–Lax model [21], [22], the MSR matrix K can be expressed as K=
M M
T Am,m g0,r (Xm )g0,t (Xm )
(1)
m=1 m =1
where K is an Nr × Nt matrix, mapping the transmitter space to the receiver space, i.e., C Nt → C Nr . T denotes the transposition and Am,m denotes the generalized multiple scattering strength, which depends on the physical properties
of the scatterers. g0,r (Xm ) and g0,t (Xm ) are the receive and transmit background Green’s function vectors, respectively [5], given by T (2a) g0,r (Xm ) = G 0 R1r , Xm , . . . , G 0 RrNr , Xm T . (2b) g0,t (Xm ) = G 0 Xm , R1t , . . . , G 0 Xm , RtNt G 0 (r, r ) is Green’s function of background medium and Rir and Rlt are the position vectors of i th receiver and lth transmitter, respectively. Each column of MSR matrix represents the data measured by a receiver array for a transmitter radiating at a specific location. There are data collection scenarios in existing prototype MI systems, in which switching the location of the transmitter, the location of the receiver array could be changed [23], or the data of some receivers are not used due to their unreliability [24], [25]. In such cases, the data is not available for all receiver locations and there will be some zero elements in columns of MSR matrix correspondingly. Similar to [19], we say the receivers are inactive at locations, where the data is not available, and the MSR matrix becomes incomplete. The singular value decomposition of K results in N
K=
K † σ pK uK p vp
(3)
p=1 K where σ pK ≥ 0, uK p , and v p are, respectively, pth singular values, the left and right singular vectors of K. N = min(Nt , Nr ) and †denotes the matrix transpose conjugate. By descendant sorting of singular values and arranging their associated singular vectors, pseudospectrum function for a coordinate X ∈D is given by [5]
Pr,t (X) = N p=M+1
1 † uK g¯ 0,r (X)2 + N p
p=M+1
T vK g¯ 0,t (X)2 p
(4) where g¯ 0,t = g0,t /g0,t and g¯ 0,r = g0,r /g0,r are the normalized transmit and receive background Green’s function vectors and ||. || indicates vector norm. Theoretically, M larger singular values belong to the signal subspace and the rest to the noise subspace. Considering M (the number of point scatterers) are unknown, using information theoretic criteria [16], [17], M is evaluated by M=
arg min
n s ={1,...,N−1}
MDL(n s )
(5)
that means M is equal to the value of n s for which MDL(n s ), given by K 1/(N−ns ) L(N−ns ) i=n s +1 σi K 1 N i=n s +1 σi N−n s
N MDL(n s ) = − ln
+ (0.5n s (2N − n s ) + 0.5) ln(L)
(6)
is minimized. In (6), L is the number of statistically independent complex Gaussian random observations of zero mean.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GHOLIPUR et al.: LINEAR SFM FOR MI OF 2-D OBJECTS
3
The rows of Un (X) and the columns of Vn (X), respectively, denote the left and the right singular vectors of S(X) associated with n larger singular values and they span the signal subspaces in C Nr and C Nt , respectively. For a CMSR matrix, n = 1 and for an IMSR matrix n must be determined through a procedure, which is discussed in the Section III-B. Projecting MSR matrix K on singular matrices of (9), two new matrices would be calculated for coordinate X as follows: Fig. 1.
Singular values spectrum for (a) IMSR and (b) CMSR matrix.
(10b)
where stands for conjugation. Substitution of (1) in (10) results in M M
T S R (X) = Am,m g0,r (Xm )g0,t (Xm )·R Vn (X) (11a)
A. Calculation of Focused Matrices Before paying attention to the focusing procedure, we compare the singular values spectrum of an incomplete and a complete MSR matrix by the use of synthetic scattering data. Such data are generated by simulating relation (1) for a setup similar to the Fresnel system [23] at 8 GHz. To generate CMSR, the scattered field is measured by 72 receivers for every 36 transmitters. The receiver antennas are angularly spaced from 0° to 355° relative to the transmitting antenna. The IMSR matrix is generated with the same setup, but there are 49 receivers angularly spaced from 60° to 300° relative to the transmitters. Fig. 1 shows the singular value spectrum for the resultant CMSR and IMSR matrices when there is one point scatterer in the imaging region. As expected, the signal subspace dimension of CMSR matrix is one. However, there are several nonzero singular values for incomplete MSR matrix. The conclusion is that the signal subspace for a point scatterer is characterized by a set of singular vectors for the IMSR matrix instead of one singular vector for CMSR matrix. Therefore, linear imaging methods such as MUSIC should first identify the signal subspace for a candidate coordinate and then obtain the pseudospectrum amplitude at that coordinate. The following addresses this issue. Let the coordinate X be a point in imaging region D. If a normalized point target [(i.e., Am,m = 1 in (1)] is placed at X, one can define the synthetic MSR matrix S(X) from relation (1) as T [S(X)] Nr ×Nt = g0,r (X)g0,t (X) Nr ×Nt .[R] Nr ×Nt (7) where (.) denotes the elementwise multiplication. R is an Nr × Nt matrix, in which all elements associated with active receivers in each column are one and the others are zero. The SVD of S(X) is given as σ p (X)u p (X)v†p (X)
[S (X)]n×Nt =
Un∗ (X) K
∗
We describe the SFM in different Sections III-A–III-D.
N
(10a)
L
III. P ROPOSED S YNTHETIC F OCUSING M ETHOD
S(X) =
[S R (X)] Nr ×n = K Vn (X)
(8)
p=1
where {u p (X), σ p (X),v p (X)} is the singular system ofS(X). Considering n singular vectors for signal subspace of coordinate X, the left and right singular matrices of S(X) are defined as Un (X) = [u1 (X), . . . , un (X)] T
(9a)
Vn (X) = [v1(X), . . . , vn (X)].
(9b)
S L (X) =
m=1m =1 M M
T Am,m Un∗ (X) g0,r (Xm )g0,t (Xm )·R (11b)
m=1m =1
where matrix R is introduced to indicate K can be an IMSR T (X )V (X) and U∗ (X)g (X ), matrix. The vectors g0,t n 0,r m m n T (X ) and mathematically, express the projection of vectors g0,t m g0,r (Xm ) on the columns of Vn (X) and rows of Un∗ (X), respectively (i.e., the projection on the signal subspace of coordinate X). The projection would be noticeable if a scatterer actually T (X )V (X ) and exists at X. For example, if X = X1 , g0,t 1 n 1 ∗ Un (X1 )g0,r (X1 ) are two vectors with noticeable components, T (X )V (X) and U∗ (X)g (X ) for m = 1 and whereas g0,t n 0,r m m n m = 1, respectively, have negligible components relatively. Therefore, S R (X) and S L (X) in (11) minimally depends on the scatterers at other points. These two matrices are focused on coordinate X, and that is why they are named as focused MSR matrices. B. Choice of n The optimal value of n is 1 for any CMSR matrix. For an IMSR matrix, choosing large values of n may cause increasing the effect of other scatterers and reducing the focusing. On the other hand, a small value of n leads to mitigate some target information. According to (7)–(9), the optimal value of n depends on the background Green’s function vectors g0,r (X) and g0,t (X), the coordinate X and the position of other coordinates relative to X. Therefore, assuming two normalized point targets at X and X j and ignoring the multiple scattering between two targets for simplicity [i.e., Am,m = Am ,m = 1, Am,m = Am ,m = 0 in (1)], MSR matrix, J(X, X j ), is obtain as T T J(X, X j ) = g0,r (X)g0,t (X) + g0,r (X j )g0,t (X j ) .R. (12) The focused matrices on X is given as R Jn (X) Nr ×n = J(X, X j ) Vn (X) L Jn (X) n×Nt = Un∗ (X) J(X, X j )
(13a) (13b)
where Un (X) and Vn (X) are defined by (9). The optimal value of n is obtained as follows: n=
arg min
n ={1,...,N−1}
DX (n ).
(14)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
It is a value of n , for which DX (n ) is minimized. DX (n ), named as difference function, is defined as a D X (n ) = α S(X) − JnR (X) (Vn (X))+ j =1
+β
a + S(X) − Un∗ (X) JnL (X) (15) j =1
where S(X) is given by (7), a is the number of points in the imaging area, and α and β are the coefficients, explicated in Section IV. The matrices (Vn (X))+ and (Un∗ (X))+ are the pseudoinverse of Vn (X) and Un∗ (X), respectively. Using + (Vn (X))+ and (Un∗ (X))+ , JnR (Vn (X)) and (Un∗ (X))+ JnL are Nt Nr mapped back to C and C . Note that n obtained from (14) only depends on the imaging setup and background electromagnetic parameters. C. Defining the Pseudospectrum for Proposed Method As mentioned before, S R (X) and S L (X) are focused matrices at X. We employ MUSIC algorithm with these MSR matrices to obtain pseudospectrum as 1 Ms Pr,t (X) = 2 R
† N α p=Ms +1 u p (X) g¯ 0,r (X) 2
T +β Np=Ms +1 v Lp (X) g¯ 0,t (X)
(16)
where vectors u Rp (X) are left singular vectors of S R (X) and vectors v Lp (X) are the right singular vectors of S L (X). Ms denotes the dimension of signal subspace in SFM and must only be determined for IMSR matrices. It is equal to one for CMSR matrices. Note that in (15) and (16), focusing of transmitting (receiving) antennas is incorporated by singular vectors of S R (X)(S L (X)) using nonzero α (β) values. The optimal value of Ms is evaluated in a preprocedure. A normalized point target is placed at an arbitrary coordinate X within the imaging region and the IMSR matrix S(X) is obtained from (7) according to the system setup. After focusing S(X) [replacing K with S(X) in (10)] and using (16), the pseudospectrum for this point target is generated. The optimal value of Ms is given as Ms =
arg max
Ms ={1,...,N−1}
S/Mn(Ms ).
(17)
It is a value of Ms , for which S/Mn(M s ) defined as M
S/Mn(Ms )
a Pr,t s (X) = a Ms Pr,t (X j )
(18)
j =1
is maximized. S/Mn is the ratio of pseudospectrum of the desired target at X to the average of pseudospectrum over imaging region that is regarded as the background clutter level. The greater is S/Mn, the higher is the detection probability of the target. Note that Ms as the signal subspace dimension of focused matrices just depends on the measurement setup configuration and background Green’s function. Both of Ms and n are
Fig. 2. Block diagram of the proposed method. (a) Preprocessing procedure for determining n and Ms . (b) Procedure for image construction.
evaluated in a preprocessing procedure that is run once for a measurement setup. The general aspects of preprocessing and processing stages of the proposed algorithm are summarized in flow charts shown in Fig. 2(a) and (b), respectively. Using the procedure shown in Fig. 2(a), the optimal values of Ms and n are determined at first. Then, the amplitude of pseudospectrum at each point X j in imaging region is determined according to Fig. 2(b). D. Computational Cost of the SFM In the MUSIC algorithm, the imaging process is carried out in two steps. The first one is the SVD of MSR matrix whose calculation poses a computational cost of O(min(Nt2 Nr and Nr2 Nt )) [26]. Supposing Nt < Nr for simplicity, O(min(Nt2 Nr and Nr2 Nt )) = O(Nt2 Nr ). The second step is related to pseudospectrum calculation in (4). Computational cost of this equation is O ((Nt − M)Nt + (Nt − M)Nr ) for each point that gives O(Nt2 Nr +a ((Nt −M)Nt + (Nt −M)Nr )) ≈ O (aNt Nr ) operations in total, with assumption M < Nt , M < Nr and noting both Nr and Nt a. In SFM, (10) and (16) are only computed in image reconstruction for any object under imaging. The evaluation complexity of S R (X) and S L (X) in (10) and their SVD is O (2nNr Nt + n 2 Nr + n 2 Nt ) ≈ O(2nN r Nt ) operations for each point. Because Ms < Nt and Ms < Nr , calculation
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GHOLIPUR et al.: LINEAR SFM FOR MI OF 2-D OBJECTS
of pseudospectrum of MUSIC given by (16) costs O((Nt − Ms )Nt + (Nt − Ms )Nr ) ≈ O(Nr Nt ) for each point in the imaging area. In total, for all points, we need O(2an Nr Nt + a Nt Nr ) = O(a Nt Nr (2n + 1)) operations. Comparing the above results, the computational cost of the SFM is nearly (2n + 1) times of that for MUSIC. As mentioned before, the relations (10) and (16) are only computed in image reconstruction for any object in the SFM. Other relations are used in preprocessing procedure in the calculation of Ms , n, and Un (X) and Vn (X). Since Ms , n, Un (X), and Vn (X) are determined once for a specific setup and background medium, independent of object under imaging, their computational cost should not be considered for comparison with the computational cost of classical MUSIC algorithm. Note that Ms , n, Un (X), and Vn (X) are inputs to the SFM. However, we derive the computational complexity of the preprocessing procedure for completeness. For the CMSR matrix, there is no computational cost in calculating Ms and n. For the IMSR matrix, the computational cost of (7), (9), and (12) are O(2Nt Nr ), O(Nt2 Nr ), and O(3Nt Nr ), respectively. The computational cost of (13) and (15) for a specific n is O(2nNr Nt ) and O(2anNr Nt + n 2 Nt + n 2 Nr ) ≈ O(2anNr Nt ), respectively. Based on (14) and (15), the total computational costof n is equal to Nt O(2Nt Nr ) + O(Nt2 Nr ) + O(3a Nt Nr ) + n=1 (2an Nr Nt ) ≈ 3 O(aNr Nt ). The computational cost of Ms relates to the SVD of S R (X), S L (X), and evaluations of (17) and (18). It is equal to O(n 2 Nt + n 2 Nr + aN 2t n + anN t Nr ) ≈ O(anN t (Nr + Nt )). The SFM poses higher computational burden than MUSIC algorithm. To give an idea about the time required for the SFM to image a 2-D configuration, it takes typically less than 2 min to get the imaging results, and the time required for the calculation of Ms and n is less than 3 min. IV. N UMERICAL R ESULTS This section presents some numerical examples for verification of the proposed algorithm and its comparison with the classical MUSIC algorithm in 2-D inverse scattering problems. As a typical example, the method is applied to MI of breast cancer. A breast is an extended target that comprises many point scatterers, so there would be a challenge in the specification of singular values associated with the signal subspace of the MSR matrix. Furthermore, skin as a first reflector and the subsequent layers generate strong clutters in received signals and reduce the tumor signal to clutter ratio. We show that the SFM gives an accurate result for position and size of the tumor, where the classical MUSIC algorithm fails. Synthetic data is generated using XFDTD software that employs the 3-D finite-difference time domain (FDTD) method for electromagnetic simulation. In order to approximately satisfy the condition of 2-D FDTD simulation, two metal plates are placed above and below the FDTD box, and the PML layer is applied to the other four sides of the box. The objects and targets are elongated between the two metal plates. The FDTD grid size is set to 1 mm × 1 mm, the frequency of operation is 3.3 GHz, and the mean value of permittivity and conductivity of background are 9.33 and 0.08 S/m at 3.3 GHz, respectively [11]. The results of the SFM are provided for
5
Fig. 3.
Phantom model with one tumor and the antenna positions. TABLE I D IELECTRIC P ROPERTIES OF VARIOUS M ATERIALS IN A B REAST M ODEL AT 3.3 GH Z
both cases of noisy data and faulty estimation of background permittivity mean value. We employ 32 transmitting and receiving antennas uniformly spaced around a circle of radius 70 mm. In the first scenario, all of the receiving antennas are active and a complete MSR matrix is attained. We generate IMSR matrices in the second, third, and fourth scenarios, where for each transmitting antenna, just 31, 23 and 8 receiving antennas angularly ranging from 11.25° to 348.75°, 56.25° to 303.75°, and 11.25° to 90° relative to the transmitting antenna, respectively, are enabled. In order to address the limited view aspects, we arrange scenario 5, which includes 16 transmitters and receivers placed from 0° to 180° around the breast phantom. Five scenarios of antenna configurations are considered to show how Ms and n depend on the measurement setup. The dielectric parameters of the tumor and various materials in breast phantom are given in Table I at 3.3 GHz [27]. Fig. 3 shows the antenna locations as well as the original breast phantom profile [28], in which the color-coded relative permittivity of each pixel is indicated. Also, a cylindrical tumor having 3 mm radius is located at (64 mm, 83 mm) coordinate and exhibited by a small white circle in the figure. Synthetic data are generated according to the aforementioned scenarios for the breast phantom of Fig. 3. A. Noise Free Imaging Results for Different Scenarios In this section, using pseudospectrum of (16) for α = β = 1, the results obtained by the SFM are presented. Singular value spectrum of the CMSR matrix (for the first scenario) and reconstructed profile by the SFM and classical MUSIC are shown in Fig. 4(a)–(c), respectively. The pseudospectrum for each algorithm is normalized to its maximum value for comparison. The computation time is 20 s for classical
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 4. (a) Plot of singular values of CMSR at 3.3 GHz and imaging results obtained by (b) SFM and (c) classical MUSIC for the first scenario. TABLE II S/Mn FOR THE SFM AND C LASSICAL MUSIC FOR THE F IRST S CENARIO
Fig. 6. (a) Singular values of scenarios 2–5 at 3.3 GHz. Reconstruction results of SFM for (b) scenario 2, (c) scenario 3, (d) scenario 4, and (e) scenario 5.
Fig. 5. (a) Difference function in terms of n and (b) S/Mn in terms of Ms , at 3.3 GHz. TABLE III n AND Ms F OR VARIOUS S CENARIOS
MUSIC algorithm and 90 s for the proposed SFM (RAM 2 GB and CPU CORE i5). As illustrated by the figures, the tumor is better resolved using the SFM, and greater S/Mn are obtained (see Table. II). Signal subspace dimension is estimated by the MDL (6) for the classical MUSIC algorithm. The MDL is not accurate for the breast phantom as an extended target.
Fig. 7.
Phantom model with two tumors.
In order to investigate four other aforementioned scenarios, we need to find the optimal values of n and Ms before imaging. Fig. 5 illustrates the difference function of (15) and S/Mn of (18) in terms of n and Ms at 3.3 GHz, respectively. The optimum values of n and Ms for the described scenarios are listed in Table III. Note that the MSR matrix in scenario 5 is complete and Ms = 1, n = 1. Fig. 6(a) depicts the singular values spectrum for all scenarios. Obviously shown, it is more challenging to separate the singular values of signal subspace from those of noise subspace as the number of inactive receivers increase. Fig. 6(b)–(d) shows the results of the SFM for scenarios 2–4, respectively. As illustrated, the SFM leads to the superior results since it devotes a specified number of singular values to the signal subspace. Fig. 6(e) displays the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GHOLIPUR et al.: LINEAR SFM FOR MI OF 2-D OBJECTS
7
TABLE IV S/Mn C ORRESPONDING TO F IG . 9
Fig. 8. Reconstruction results of the SFM for the phantom model of Fig. 7. (a) Scenario 1. (b) Scenario 2. (c) Scenario 3. (d) Scenario 4.
Fig. 10. Imaging results by SFM for (a) SNR = 15 dB, (b) SNR = 10 dB, (c) SNR = 5 dB, and (d) SNR = 0 dB, at 3.3 GHz, for the first scenario.
Fig. 9. (a) Phantom model and reconstruction results of the SFM (b) with tumor and (c) without tumor in scenario 1 at frequency of 2.5 GHz.
SFM imaging result for scenario 5. In this case, some parts of the target near to the antennas are better reconstructed. Another simulation is performed for the structure of Fig. 7, where two tumors are located at (64 mm, 83 mm) and (70 mm, 55 mm). Fig. 8 shows the result of SFM for four scenarios. As depicted, the targets are well discerned in the figure, and it is indicated that the algorithm could be appropriately utilized even for multitarget cases. Fig. 9(a) indicates a structure, in which the location of a tumor is indicated by a white circle in fibro-glandular tissue. Fig. 9(b) shows the results of SFM for scenario 1 when the tumor is inserted in the phantom model. It clarifies that the SFM could be reliably applied even to the targets having low
permittivity contrast with the surrounding. Fig. 9(c) shows the case when no tumor is present in the breast model of Fig. 9(a). Table IV lists S/Mn at the location of the tumor shown in Fig. 9(b) and (c). It can be seen that S/Mn for Fig. 9(b) is higher than in Fig. 9(c), which means the existence probability of the tumor in Fig. 9(b) is higher than that in Fig. 9(c). The detection rule for breast cancer is derived in terms of S/Mn metric with the aid of the probability theory in the future. This metric can be evaluated from the reconstructed images for the real experiences, where no prior knowledge exists about the presence of tumors. It should be noted that since the pseudospectrum is normalized to its maximum value, the area of fibroglandular tissue is more apparent in Fig. 9(c) than in Fig. 9(b). B. Results for Noisy Synthetic Data In order to address the robustness of the SFM against noise, we add some additive white Gaussian noise to the synthetic data of the first scenario. Fig. 10 shows the imaging results and Table V indicates the corresponding S/Mn for SNR = 0, 5, 10, and 15 dB. As shown, the tumor location could be detected by the SFM even for small values of SNR (= 5 dB). C. Results for Faulty Estimation of Background Permittivity Herein, we investigate the effect of uncertainty in mean value estimation of the background permittivity. The results of
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE V S/Mn C ORRESPONDING TO F IG . 10
TABLE VI S/Mn FOR S CENARIOS 1, 6, AND 7 Fig. 12. (a) Measurement setup in an anechoic chamber at the Centre Communed de Resources Micro-nodes at Marseille. (b) Circular dielectric targets with εr = 3 ± 0.3 and radius of r = 15 mm [23].
Fig. 13. (a) Difference function in terms of n and (b) S/Mn in terms of Ms , at 8 GHz for the antenna configuration given by [23]. Fig. 11. Imaging results obtained by the SFM at 3.3 GHz, when there is (a) 40% underestimation and (b) 40% overestimation of background permittivity for the first scenario.
the proposed method for 40% underestimation and overestimation of the background permittivity are illustrated in Fig. 11 for the first scenario. Obviously, the figure expresses a good robustness of the method against background estimation error. D. Choice of α and β The selection of α and β in (15) and (16) is discussed in this section. When there is more nonzero data in rows of an IMSR matrix than its columns, the right singular matrix Vn (X) embodies more information for focusing on the coordinate X than the left singular matrix Un (X) and thus, we should give preference to use S R (X) rather than to use S L (X) in (10) for imaging. To verify our remark, two new scenarios of antenna configuration are defined. Scenarios 6 and 7 are, respectively, arranged with 32 transmitters and 16 receivers, and with 16 transmitters and 32 receivers placed from 0° to 360° around the breast phantom of Fig. 3. The results of S/Mn associated with Scenarios 1, 6, and 7 are reported in Table VI for different values of α and β. It can be observed that S/Mn (or the detection probability) is increased as more preference is given to use the focusing involves more nonzero data. Therefore, denoting Nrow and Ncolumn as the number of nonzero elements in rows and columns of an IMSR, respectively, α and β are determined as If Nrow > Ncolumn , then α = 1; β = 0 If Nrow < Ncolumn , then α = 0; β = 1 If Nrow = Ncolumn , then α = β = 1. Such an ON/ OFF manner in the selection of α and β avoids the inclusion of the focusing that involves greater error.
Fig. 14. Imaging results given by (a) SFM and (b) MUSIC, at 8 GHz for SNR = 20 dB.
V. E XPERIMENTAL A SSESSMENT The proposed method is also verified using an experimental data set provided by Institute Fresnel, Marseille, France [23]. Fig. 12 shows the measurement system as well as the object under imaging. The experimental setup has been put in a large anechoic chamber that is 14.50 m long, 6.50 m wide, and 6.50 m high. A set of three positioners are employed to adjust the antennas or target positions. For two cylinders having the same radius of 15 mm and spaced by 90 mm, the MSR matrix is obtained based on the configuration described in Section III-A for generating the IMSR matrix. According to Fig. 13, the best values of n and Ms for this measurement setup is 3 and 2, respectively. The measured data sets in [23] are reported with a high SNR. However, in order to get close to a real experience, we add some noise to the measurement data. The imaging results for the SFM and classical MUSIC are illustrated in Fig. 14 for SNR = 20 dB. Because Nrow = 24 and Ncolumn = 49, we set α = 0, β = 1 for the SFM in this figure. It is comprehended that the borders of the target are better defined by the SFM.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GHOLIPUR et al.: LINEAR SFM FOR MI OF 2-D OBJECTS
VI. C ONCLUSION We introduce an SFM that can be used in MI. The proposed SFM attenuates the scattered fields due to the points other than the point of interest. As a result, the signal subspace dimension of the focused matrix is reduced to that of one point target. The new technique decreases the uncertainty in the determination of signal subspace significantly. The SFM is compared with the classical MUSIC algorithm through the several synthetic and real experimental examples. It is indicated that the SFM provides superior imaging results even for extended targets and an incomplete MSR matrix. It is also observed that the tumor in breast imaging is well detected by the SFM even for SNR = 5 dB and 40% uncertainty in mean value estimation of the background permittivity. The new method is also verified for limited aperture view and various scenarios of data collection. In order to quantify the imaging results, S/Mn criterion is calculated for several cases. In the future, we investigate a multifrequency version of the SFM to improve the algorithm and try to apply the introduced method to 3-D structures. ACKNOWLEDGMENT The authors would like to thank the Institute Fresnel, Marseille, France, for providing the experimental data. R EFERENCES [1] M. Yousefnia, A. E. Zadeh, M. Dehmollaian, and A. Madannejad, “A time-reversal imaging system for breast screening: Theory and initial phantom results,” IEEE Trans. Biomed. Eng., to be published. [2] W.-K. Park, K.-J. Lee, H. P. Kim, and S.-E. Son, “Application of MUSIC to microwave imaging for detection of dielectric anomalies,” in Proc. Prog. Electromagn. Res. Symp. Spring (PIERS), St. Petersburg, Russia, 2017, pp. 2908–2912. [3] W.-K. Nie, D.-Z. Feng, H. Xie, J. Li, and P.-F. Xu, “Improved MUSIC algorithm for high resolution angle estimation,” Signal Process., vol. 122, pp. 87–92, May 2016. [4] L. Zhang, Y. H. Chew, and W.-C. Wong, “Transmitter precoder design to improve the performance of the MUSIC algorithm,” Signal Process., vol. 93, pp. 3202–3208, Nov. 2013. [5] E. A. Marengo, “Single-snapshot signal subspace methods for active target location: Part I: Multiple scattering case,” in Proc. IASTED Int. Conf., 2005, pp. 161–166. [6] S. Hou, K. Solna, and H. Zhao, “A direct imaging algorithm for extended targets,” Inverse Problems, vol. 22, pp. 1151–1178, Jun. 2006. [7] E. A. Marengo, F. K. Gruber, and F. Simonetti, “Time-reversal MUSIC imaging of extended targets,” IEEE Trans. Image Process., vol. 16, no. 8, pp. 1967–1984, Aug. 2007. [8] D. Gintides, M. Sini, and N. T. Thành, “Detection of point-like scatterers using one type of scattered elastic waves,” J. Comput. Appl. Math., vol. 236, pp. 2137–2145, Feb. 2012. [9] M. Andrecut. (Jun. 2009). “Computational time-reversal imaging with a small number of random and noisy measurements.” [Online]. Available: https://arxiv.org/abs/0906.2600 [10] F. Vincent, F. Pascal, and O. Besson, “A bias-compensated MUSIC for small number of samples,” Signal Process., vol. 138, pp. 117–120, Sep. 2017. [11] D. Byrne and I. J. Craddock, “Time-domain wideband adaptive beamforming for radar breast imaging,” IEEE Trans. Antennas Propag., vol. 63, no. 4, pp. 1725–1735, Apr. 2015. [12] G. Oliveri, L. Lizzi, M. Pastorino, and A. Massa, “A nested multi-scaling inexact-Newton iterative approach for microwave imaging,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 971–983, Feb. 2012. [13] A. Abubakar, P. M. van den Berg, and J. J. Mallorqui, “Imaging of biomedical data using a multiplicative regularized contrast source inversion method,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 7, pp. 1761–1777, Jul. 2002. [14] S. Caorsi, A. Massa, and M. Pastorino, “Numerical assessment concerning a focused microwave diagnostic method for medical applications,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 11, pp. 1815–1830, Nov. 2000.
9
[15] A. Baussard and T. Boutin, “Time-reversal MUSIC imaging using a recursive approach,” in Proc. EUSIPCO Signal Process. Conf., Sep. 2007, pp. 409–413. [16] Y. Chen and P. Kosmas, “Detection and localization of tissue malignancy using contrast-enhanced microwave imaging: Exploring information theoretic criteria,” IEEE Trans. Biomed. Eng., vol. 59, no. 3, pp. 766–776, Mar. 2012. [17] M. Wax and T. Kailath, “Detection of signals by information theoretic criteria,” IEEE Trans. Acoust., Speech, Signal Process., vol. ASSP-33, no. 2, pp. 387–392, Apr. 1985. [18] R. Fazli and M. Nakhkash, “An analytical approach to estimate the number of small scatterers in 2D inverse scattering problems,” Inverse Problems, vol. 28, no. 7, Jun. 2012, Art. no. 075012. [19] R. Fazli, M. Nakhkash, and A. A. Heidari, “Alleviating the practical restrictions for MUSIC algorithm in actual microwave imaging systems: Experimental assessment,” IEEE Trans. Antennas Propag., vol. 62, no. 6, pp. 3108–3118, Jun. 2014. [20] M. D. Hossain and A. S. Mohan, “Cancer detection in highly dense breasts using coherently focused time-reversal microwave imaging,” IEEE Trans. Comput. Imag., vol. 3, no. 4, pp. 928–939, Dec. 2017. [21] L. L. Foldy, “The multiple scattering of waves. I. General theory of isotropic scattering by randomly distributed scatterers,” Phys. Rev., vol. 67, pp. 107–119, Feb. 1945. [22] M. Lax, “Multiple scattering of waves,” Rev. Mod. Phys., vol. 23, pp. 287–310, Oct. 1951. [23] K. Belkebir, and M. Saillard, “Testing inversion algorithms against experimental data,” Inverse Problems, vol. 17, no. 6, pp. 1565–1571, 2001. [24] S.-H. Son, N. Simonov, H.-J. Kim, J.-M. Lee, and S.-I. Jeon, “Preclinical prototype development of a microwave tomography system for breast cancer detection,” ETRI J., vol. 32, no. 6, pp. 901–910, Dec. 2010. [25] C. Gilmore et al., “A wideband microwave tomography system with a novel frequency selection procedure,” IEEE Trans. Biomed. Eng., vol. 57, no. 4, pp. 894–904, Apr. 2010. [26] J. Miao, H. Li, C. Miao, and R. Marklein, “Application of the linear and nonlinear inversion algorithms for microwave imaging,” in Proc. Int. Conf. Image Signal Process., Oct. 2010, pp. 2022–2025. [27] M. Lazebnik et al., “A large-scale study of the ultrawideband microwave dielectric properties of normal breast tissue obtained from reduction surgeries,” Phys. Med. Biol., vol. 52, no. 10, pp. 2637–2656, Apr. 2007. [28] E. Zastrow et al., “Development of anatomically realistic numerical breast phantoms with accurate dielectric properties for modeling microwave interactions with the human breast,” IEEE Trans. Biomed. Eng., vol. 55, no. 12, pp. 2792–2800, Dec. 2008.
Tayebeh Gholipur received the B.Sc. and M.Sc. degrees in electrical engineering from the Isfahan University of Technology, Isfahan, Iran, in 2006 and 2011, respectively. She is currently pursuing the Ph.D. degree at the University of Yazd, Yazd, Iran. Her current research interests include microwave imaging and inverse problems.
Mansor Nakhkash received the B.Sc. and M.Sc. degrees in electrical engineering from the Isfahan University of Technology, Isfahan, Iran, in 1988 and 1991, respectively, and the Ph.D. degree in electrical engineering from the University of Liverpool, Liverpool, U.K., in 1999. From 1999 to 2001, he was a Post-Doctoral Research Assistant with the Department of Electrical Engineering, University of Liverpool. Since 2001, he has been with Yazd University, Yazd, Iran, where he is currently an Associate Professor with the Department of Electrical Engineering. His current research interests include microwave imaging, SAR signal processing, and computational electromagnetics.
Mohammad Zoofaghari was born in Isfahan, Iran, in 1986. He received the B.S. and M.S. degrees in electrical engineering from the Isfahan University of Technology, Isfahan, Iran, in 2009 and 2011, respectively, and the Ph.D. degree from the Amirkabir University of Technology, Tehran, Iran in 2017. He is currently an Assistant Professor with the Department of Electrical Engineering, Yazd University, Yazd, Iran. His current research interests include applied electromagnetics, inverse problems, underground imaging, and wave propagation in random media.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
W-Band MIMO FMCW Radar System With Simultaneous Transmission of Orthogonal Waveforms for High-Resolution Imaging Se-Yeon Jeon , Member, IEEE, Min-Ho Ka , Member, IEEE, Seungha Shin, Munsung Kim, Seok Kim, Sumin Kim, Jeongbae Kim, Aulia Dewantari , Jaeheung Kim, and Hansup Chung
Abstract— This paper presents a multi-input multi-output (MIMO) radar with simultaneously transmitted orthogonal waveforms. The radar operates in W-band, 93.5–94.5 GHz, with frequency-modulated continuous wave (FMCW) signals. The radar is designed to be capable of simultaneous transmission rather than switching transmit channels. A suitable orthogonal waveform transmission method for the FMCW radar is selected and reflected in the transceiver design. The radar consists of a transceiver, antennas, and a signal processor. The design and specifications of each module are described in detail. The transceiver consists of two transmitting channels and two receiving channels. The transmitter is designed to have high transmit power aiming at the detection and imaging of objects with small radar cross section, up to 100–150-m range. A compact horn antenna and two-channel analog-to-digital converter with a high sampling rate are designed and fabricated. The performance of each module is measured and evaluated. The performance of the radar is tested through experiments. The MIMO capability of the radar was verified through the acquisition of the range profiles with respect to four distinct signal paths by distinguishing the simultaneously transmitted orthogonal signals. The experimental results verified that the specification of the radar system is feasible for high-resolution imaging applications. Index Terms— Frequency-modulated continuous wave (FMCW), multi-input multi-output (MIMO), multichannel, orthogonal waveform, radar, synthetic aperture radar (SAR), transceiver, W-band.
I. I NTRODUCTION
T
HE radar technologies are based on reconstructing the information in a radar signal path from transmission to reception for detection or imaging. The multi-input
Manuscript received April 6, 2018; revised July 5, 2018; accepted July 16, 2018. This work was supported by the Ministry of Science and ICT (MSIT), Korea, under the ICT Consilience Creative Program (IITP-20172017-0-01015) supervised by the Institute for Information & communications Technology Promotion (IITP); Civil Military Technology Cooperation Program and by the Korea Government (MSIT) under a IITP grant (No. 2017-000678, A Development of SAR for small sized UAV). (Corresponding author: Min-Ho Ka.) S.-Y. Jeon, M.-H. Ka, S. Kim, S. Kim, J. Kim, A. Dewantari, J. Kim, and H. Chung are with the School of Integrated Technology, Yonsei Institute of Convergence Technology, Yonsei University, Seoul 21983, South Korea (email:
[email protected]). S. Shin and M. Kim are with the Research and Development Center, U-Tel Company, Ltd., Gunpo 15880, South Korea (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2867003
multi-output (MIMO) radar systems obtain the information in a distinct signal path of each combination of transmit and receive channels. The expansion into multiple channels allows frequency and polarization variation to radar signals, or spatial displacements to antennas, thereby increasing the diversity or dimension of the information. Orthogonality between transmit signals is required to separate each signal path. The implementation of MIMO transmission using orthogonal waveforms is commonly based on multidimensional modulation [1]. The existing four approaches are space-time modulation, spacefrequency modulation, space-time-frequency modulation, and orthogonal code modulation. Space-time modulation is relatively simple to implement through the switching operation. Each channel transmits signals at different instants, so the waveform diversity for the transmit channels is not required. However, the total duration of transmission increases in proportion to the number of transmit channels. The phase center of transmit and receive antennas may shift during switching if the platform is moving. Therefore, simultaneous transmission is needed for applications such as moving platforms or those with high pulse repetition frequency (PRF) requirement. Space-frequency modulation assigns nonoverlapping subbands to separate transmit antennas. This method requires a wide bandwidth of the radar system and a high sampling rate of the analog-to-digital converter (ADC) without improving the range resolution. Space-time-frequency modulation uses the previous two techniques. Orthogonal code modulation requires a complicated receiver filter design and results in a lower signal-to-noise ratio (SNR). Choosing an appropriate transmission method considering the characteristics of the modulation schemes and radar application is an important issue. Small platforms such as unmanned aerial vehicles and unmanned ground vehicles are widely used for monitoring or sensing purposes because of its capability for an immediate and frequent operation [2]. The radar systems as a payload of such platforms should be developed compactly. A suitable method is frequency-modulated continuous wave (FMCW), which can assist in the realization of a small, light, and low-cost radar with high resolution [3], [4]. The high operating frequencies also contribute to the compact development of radar hardware. The shorter the wavelength, the smaller the size of the antenna and the
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I P ERFORMANCES OF M ULTICHANNEL W-BAND FMCW R ADAR S ENSORS
microwave circuit is; thus, the overall hardware size can be reduced. Multichannel and MIMO radars based on the FMCW system operating in W-band have been developed for various applications [5]–[9]. The performances and applications of the radar systems are shown in Table I. The radar systems proposed in [5] and [6] have one transmit channel and multiple receive channels. The radar systems presented in [7]–[9] have multiple transmit and receive channels. References [7] and [8] adopted space-time-modulation by switching transmit channels. In [9], the orthogonality of the transmit signals is obtained by frequency multiplexing. The range resolution of the FMCW radar is determined by the bandwidth of the transmitted signal. Larger bandwidth should be considered to achieve a better range resolution for applications such as imaging. A multipurpose MIMO W-band FMCW radar system with the simultaneous transmission for high-resolution imaging is proposed. The radar operates at a frequency range of 93.5–94.5 GHz with two transmit channels and two receive channels. The radar is intended to be mounted on moving platforms, thus the radar implements simultaneous transmission of orthogonal waveforms instead of switching the transmit channels to be capable for applications that require high PRF. The selection of orthogonal waveform and its reflection on the hardware design adopted to achieve wide transmit bandwidth in spite of simultaneous transmission for high resolution are described throughout this paper. The radar targets not only object with large radar cross section (RCS) such as vehicles but also human with RCS of 1–3 m2 , for detection or imaging up to 100–150-m range. Therefore, higher transmit power was considered than that of the radar systems presented in [5]–[9]. The MIMO radar has various applications such as interferometry, polarimetry, digital beamforming, and imaging, depending on its operation and the antenna arrangement. The virtual array can be processed either in the azimuth or elevation direction according to the orientation of the radar. To utilize this radar for verification of radar system parameters and signal processing algorithms, the hardware was designed to generate
waveforms using direct digital synthesizer (DDS) to enable the control of the waveform parameters. This paper is based on an example where the radar system has been used for the engineering model of the synthetic aperture radar (SAR) system design among various applications. The radar was used for the evaluation and verification of the designed MIMO SAR system. SAR is a technique for obtaining high-resolution images with an active microwave sensor [10], [11]. The radar is mounted on a moving platform and repeats transmission and reception toward the target region according to the PRF. The acquired signals are synthesized to obtain a better azimuth resolution than the limit imposed by the size of the physical antenna. The higher the frequency of the radar signal, the shorter the synthetic length required to obtain the same azimuth resolution. A shorter synthetic length reduces the time required to synthesize SAR images, providing capabilities for real-time or video SAR. A video SAR system can simultaneously synthesize SAR images in a short period of time and display them as video [12], [13]. A radar is less influenced by weather or sunlight compared with an electrooptical/infrared sensor, thus SAR or video SAR can be operated in all-weather and day and night for military surveillance, geoscience, oceanography, agriculture, disaster alarm, and environmental monitoring [14], [15]. Various functions can be achieved by applying the MIMO technology to SAR, such as digital beamforming and a high-resolution wide swath [16]–[18], ground moving target indication [19], interferometry SAR [20], [21], polarimetric SAR [22], and 3-D SAR [23]. A major advantage is that multiple modes can be operated using a single system, depending on the operation and signal processing [24]. A research on a video SAR system using the advantages of MIMO and W-band has been conducted in this research group. A derivation of the signal model and a video SAR algorithm was presented in [25] to improve system performance, including image size and frame rate. The proposed radar is used for the system verification. This presentation focuses on the hardware configuration, implementation, and performance evaluation of the radar system.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JEON et al.: W-BAND MIMO FMCW RADAR SYSTEM
Fig. 1.
3
Time–frequency diagrams of radar signals.
II. T RANSMITTER A RCHITECTURE W ITH O RTHOGONAL WAVEFORM S IGNALS An important function of a MIMO radar is to transmit orthogonal waveforms in multiple transmission channels. Among the various methods used for ensuring the orthogonality between simultaneously transmitted waveforms, a method suitable for the FMCW radar should be selected. The main benefit of using FMCW is that the bandwidth of the beat signal is much smaller than that of the transmission band, which considerably alleviates sampling requirements. This advantage should be maintained when orthogonal waveforms are applied to MIMO FMCW radars. Suitable orthogonal waveforms for FMCW radars include beat frequency division (BFD) [26], [27], orthogonal frequency division multiplexing (OFDM) [28], and chirp rate division (CRD) [29]. Since the OFDM chirp waveform is more sensitive to the Doppler shift than the linear frequency modulation waveform [28], Doppler compensation may be difficult for an FMCW radar with a long sweep duration. The proposed radar system generates waveforms in a DDS, in which waveform parameters are adjustable. Therefore, the radar system is also capable of OFDM or CRD; however, BFD is used for testing and operating the radar for the following reasons. The received beat frequency band is critical to receiver design, including the selection of intermediate frequency (IF) band devices and sampling frequency requirements. The BFD is selected to maintain the advantage of an FMCW radar, because the bandwidth of the beat signal is much smaller than that of the transmit signal. The BFD method transmits signals at the same chirp rate but with a frequency offset between channels. The frequency offset is very small compared with the transmission signal band; thus, most of the transmission frequency bands between channels overlap. The backscattered signal is received with the frequency offset maintained, and it is mixed with the reference signal for dechirping at the receiver. The time–frequency diagrams of the transmitted and received signals are shown in Fig. 1. It is shown in Fig. 2 that the reflected signals of the two transmit channels coexist in the received signals but are separated in the beat frequency band. Orthogonality is ensured only within the range swath corresponding to the frequency offset. The desired range swath determines the beat frequency offset, f b , as f b > kr
2Rswath c
(1)
Fig. 2. BFD demodulation. (a) Received signals before demodulation. (b) Demodulated signals.
where kr is the chirp rate, Rswath is the range swath, and c is the speed of light. Unlike the space-time modulation scheme, simultaneous transmission using BFD does not require switching network in the transmitter. In addition, the system bandwidth requirement and complexity of the receiver design are not increased compared to other modulation schemes. III. R ADAR S YSTEM C ONFIGURATION A block diagram of the proposed W-band MIMO FMCW radar system is shown in Fig. 3. The radar system consists of antennas, a transceiver, and a signal processing unit. Both the transmitter and receiver comprise two channels and two antennas. The transmitter includes a waveform generator, an X-band unit, and a W-band unit. The DDS generates an FMCW signal with a center frequency of 1.25 GHz and a bandwidth of 125 MHz. This signal is divided into two channels. In the X-band unit, the signals are upconverted by mixing with the signal generated at the phase-locked loop (PLL). For the implementation of BFD, the PLLs of channels 1 and 2 generate frequencies of 10.5 GHz and 10.5 − f b /8 GHz, respectively, such that the final frequency offset between the channels is f b . The center frequency of the filtered output signals in channels 1 and 2 is 11.75 and 11.75−f b /8 GHz, respectively. The output signal of channel 1 is sent to the receiver as a reference signal for dechirping through the coupler. The W-band unit consists of driver amplifier (DA) carriers and power amplifier (PA) carriers. In a DA carrier, the X-band signal is upconverted into the W-band by an ×8 frequency multiplier. As a result, the signal bandwidths in both the channels are 1 GHz, and the center frequencies are 94 and 94−f b GHz in channels 1 and 2, respectively. The signals are amplified by the PA carriers and then transmitted by antennas. The receiver includes a W-band unit, an X-band unit, and an IF unit. The received backscattered signal is amplified by
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
Fig. 3.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Block diagram of the W-band MIMO FMCW radar sensor.
low noise amplifiers (LNAs) and mixed with the reference signal for dechirping at a dc carrier in the W-band unit. The reference signal is the replica of the transmitted signal of transmit channel 1. The channel 1 output signal of the X-band unit in the transmitter is input to the X-band unit in the receiver through the 20-dB coupler with insertion loss less than 1 dB. The signal is divided into receive channels 1 and 2 and then multiplied by 8 through the DA carrier in the W-band unit. The signal is then input to the mixer LO of the dc carrier as the reference signal. The dechirped signal is amplified by operational amplifiers (OP-amps), filtered in the IF unit, and then output as a beat signal. The parameters of the waveform generated by DDS are adjustable through the graphical user interface (GUI). The main functions of GUI include waveform-type selection, transmit signal center frequency and bandwidth control, pulsewidth control, and multichannel transmission method selection. The DDS provides a clock signal of 100 MHz, which is divided into a 10-MHz clock signal and input to the ADC. The two-channel ADC samples the beat signal and stores it in the shared memory of the field-programmable logic (PL) array. The stored data are sent to a personal computer via TCP/IP. IV. R ADAR S YSTEM D ESIGN A. Transceiver Design Based on the requirements of the MIMO video SAR listed in Table II, the requirements of the transceiver listed in Table III were defined from the radar range equation [30] 4 RMAX =
PT x G 2 λ2 σ G PC (4π)3 SNR · PN L
(2)
TABLE II SAR S YSTEM R EQUIREMENTS
where RMAX is the maximum detection range, PTx is the transmitted power, G is the antenna gain, λ is the wavelength, σ is the RCS, G PC is the signal processing gain, SNR is the required SNR, L is the loss factor, and PN is the receiver noise. PN is determined by the noise temperature TN , and the bandwidth f , as PN = kTN f , where k is Boltzmann’s constant. The system budget for transmit and receive paths is listed in Table IV. It is designed to satisfy the requirements of transmitted power higher than 18 dBm and receive a gain higher than 40 dB. The corresponding points of the transmit and receive paths in Fig. 3 are indicated by P1–13. A signal of −2 dBm is output from the DDS. The gains in the transmit path, including losses in the transmission line, wire bonding, and transition structure, are 16 dB in the X-band unit, 0.8 dB in the DA carrier, and 5.29 dB in the PA carrier, resulting in a final output power of 20.09 dBm. The receive path is designed to have a noise figure (NF) lower than 20 dB and a receive gain ranging from 40 to 50 dB. LNAs were used for the gain of dc carrier in the W-band unit, and OP-amps were used in the IF unit. The total gain is 43.11 dB, and the NF is 12.65 dB.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JEON et al.: W-BAND MIMO FMCW RADAR SYSTEM
5
TABLE III R EQUIREMENTS OF T RANSCEIVER
Fig. 5. Internal assembly of W-band unit in (a) transmitter and (b) receiver.
Fig. 6.
Fig. 4. PCB assemblies of (a) W-band unit and (b) waveform generator and IF part.
The main printed circuit board (PCB) assemblies of the transceiver are shown in Fig. 4. In the W-band part shown in Fig. 4(a), the W-band unit of the receiver is located in the middle and the W-band unit of the transceiver is located on both sides. Fig. 4(b) shows the waveform generator and IF part consisting of power, control, and communication, IF signal processor (PS), and a waveform generator including DDS and PLL. The W-band unit of the transceiver shown in Fig. 5(a) includes a DA carrier, PA carrier, and transition structure.
Fabricated transceiver.
The unit is designed to output the 1-GHz bandwidth W-band signal with an output level higher than 18 dBm at an input of the 125-MHz bandwidth X-band signal with an input level ranging from 7 to 13 dBm, including transition loss. The W-band unit of the receiver, including the transition structure, dc carrier, and DA carrier, is shown in Fig. 5(b). The unit is designed to satisfy a conversion gain higher than 12 dB and an NF less than 18 dB including transition loss. The input frequency is 11.75 GHz with a 125-MHz bandwidth, and the output frequency is 94 GHz with a 1-GHz bandwidth. The fabricated transceiver is shown in Fig. 6. The housing of the transceiver was designed to have extra space for multipurpose use. The empty space on the right side is not related to the radar system presented in this paper. The lower
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE IV S YSTEM B UDGET FOR T RANSMIT AND R ECEIVE PATHS
Fig. 7.
MIMO antenna array and virtual array. Fig. 9.
Design of compact horn antenna.
platform height h, slant range to the scene center R, and the range swath Rswath . The minimum required θel is 12° when h = 50 m, R = 100 m, and Rswath = 40 m. The required azimuth beamwidth θaz is determined by the desired azimuth resolution az, where K a is the broadening factor, [14] as follows: λK a . (3) az = 2θaz Fig. 8.
SAR geometry and elevation beamwidth.
part is a mount for fixing the transceiver. The dimension and weight of the transceiver are 300 × 150 × 80 mm3 and 5.8 kg, respectively. B. Antenna Design The 2 × 2 arrangement of transmit and receive antennas of the radar is shown in Fig. 7. As a result, four virtual array elements are created in a column. Two of these elements are located at the same position. The standard horn antenna for the W-band is too bulky to be connected to the implemented transceiver. Therefore, a compact horn antenna was designed and fabricated to be as small as possible while satisfying the required antenna gain and 3-dB beamwidth. The required antenna gain is determined by (2) to be higher than 20 dB. As depicted in Fig. 8, the elevation beamwidth θel is determined by SAR geometry parameters such as
The minimum required θaz is 2° when az = 0.2 m. Considering the motion disturbance of the platform, the requirements for θel and θaz are defined to be larger than 14° and 4°, respectively, with an extra margin added to the minimum required beamwidths so that the target region is within the beamwidth. The dimension of the designed antenna are A = 14.7 mm, B = 11 mm, and P = 28 mm, as shown in Fig. 9. The size of the antenna is smaller than one-third of the standard horn antenna. Fig. 10 shows the fabricated horn antennas. The material of the antenna is brass with gold coating. C. Signal Processor Design The signal PS consists of two boards, Zedboard and ADC board. The ADC board was equipped with ads5296a, capable of sampling eight channels at a sampling frequency of 80 MHz and a resolution of 12 bits [31]. The sampling frequency was chosen to be 10 MHz, considering an operation range of 100 m. The ADC board was also equipped with variable gain amplifiers to make full use of the peak-to-peak
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JEON et al.: W-BAND MIMO FMCW RADAR SYSTEM
7
TABLE V M EASUREMENT R ESULTS OF R ADAR S ENSOR
Fig. 10.
Fabricated compact horn antennas.
Fig. 11.
ADC board. (a) Top. (b) Bottom.
Fig. 12.
Functional diagram of signal PS.
analog input of the ADC chip. The ADC board shown in Fig. 11 was fabricated using an FR-4 substrate with a thickness of 1.6 mm. The signal PS utilized the ARM-based PS, PL, and the eight-channel direct-memory-access (DMA) of the Xilinx Zynq-7000 chip for controlling the ADC board, storing the sampled data, and retrieving the data via TCP/IP. The Zedboard, equipped with a Xilinx Zynq-7000 chip, had numerous peripherals such as the FMC connector, gigabit ethernet port, PMOD, and 512 Mbyte DDR3 memory, for easy development of the Zynq-7000 chip. A functional diagram of the signal PS is shown in Fig. 12. The signal PS receives two analog IF signals, a reference clock for the ADC chip, a trigger signal that indicates the start of RF transmission and a start-of-beat (SOB) signal that indicates the start of channel 1 transmission. The two analog IF signals are first amplified by the VGA and then sampled by the ADC chip of the ADC board. The analog-to-digital sampling clock is kept synchronous with the transceiver by utilizing the clock divider of the PL and providing a synchronized sampling frequency to the ADC chip. The sampled and digitized signal is sent to the datamover of the PL, which is responsible for the DMA functionality.
When a command is given from the control program of the PS, the datamover combines the trigger signal and SOB signal with the two 12-bit sampled data to form a single double-word and store it into the shared memory. The stored data are then sent to the control computer by the control program via TCP/IP. V. P ERFORMANCE M EASUREMENTS R ESULTS The performance of the transceiver, antenna, and signal PS was measured to confirm that the requirements were satisfied. The desired and measured parameter values are compared in Table V. All parameters satisfied the requirements. A. Transceiver Measurement An Agilent N1911A power meter and a Keysight Technologies PSA E4440A spectrum analyzer were used for measuring performance. An Agilent 11970W harmonic mixer was used as the W-band interlocking fixture of the spectrum analyzer. An Agilent W8486A power sensor, which operates in input range from −30 to 20 dBm, was used as the W-band interlocking fixture of the power meter. The signal generator, Keysight Technologies E8257D, was used in conjunction with the source module for the W-band, Keysight Technologies S10MS-AG. The transmitted power is an important parameter that affects the SNR and maximum detection range. Therefore, the difference of the output power between the transmit channels should be as small as possible. The transceiver was tuned several times to adjust the transmitted power. The output level of the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 13. Measurement results of transmitter. (a) Transmitted power of channels 1 and 2. (b) Phase noise of DDS output. (c) Power of harmonics in transmitter. (d) Power of harmonics compared with carrier frequency. (e) Spurious wave in transmit channel 1 and (f) channel 2.
TABLE VI P HASE N OISE IN T RANSMIT PATH
PA carrier, DA carrier, and the overall W-band unit of the transmitter was measured using the power meter. The output level of the PA carrier was higher than 18 dBm when the input level was higher than 12 dBm. When the input level of the DA carrier was higher than 10 dBm, the multiplier output was higher than 11.6 dBm within a flatness of 2.5 dB. The output power of the transmit channels 1 and 2 is higher than 18 dBm at 93.5–94.5 GHz, as shown in Fig. 13(a). The output power ranges from 18.49 to 19.25 dBm at channel 1 and 18.06 to 19.31 dBm at channel 2, and the overall power deviation is 1.25 dB. Fig. 13(b) shows the measurement results of the DDS output phase noise, for calculating the transmitter phase noise. As listed in Table VI, the phase noise of the main blocks was calculated along the transmit path. The phase noise of the transmitter was −80, −82, and −85 dBc/Hz at 1, 10, and 100 kHz, respectively. Since the frequency multiplier is a nonlinear element, other harmonic components exist in the multiplier output as well.
The carrier frequency used in the radar is ×8 components; thus, the other components should be sufficiently smaller than the ×8 components. Therefore, the ×8 components and the ×7 and ×9 components of the DA carrier output were measured using the power meter, and the results are shown in Fig. 13(c). Fig. 13(d) shows a comparison of the ×7 and ×9 components with the ×8 components; the comparison was performed to confirm that they are less than −25 dBc. The ×7 and ×9 components were less than −25 and −35 dBc, respectively, when the input level was higher than 10 dBm. Therefore, the requirements of the output level, flatness, and harmonic characteristics of the DA carrier are satisfied when the input level is higher than 12 dBm. The performance of the W-band unit including the DA carrier, PA carrier, and transition structure was measured. When the input level was higher than 12 dBm, the output level of the ×8 components was higher than 20.5 dBm, within a flatness of 0.5 dB. The measured transmitter spurious wave was −45.01 dBc in channel 1 and −41.46 dBc in channel 2, as presented in Fig. 13(e) and (f). The VSWR was 1.33–1.41. The channel gain, spurious wave, and channel isolation of the receiver were measured with the spectrum analyzer. Fig. 14(a) and (b) shows the measured gain of receive channels 1 and 2. The channel gain deviation was 0.4 dB. The performance of the W-band unit of the receiver including the transition structure, dc carrier, and DA carrier was measured. When the LO input level was 7 dBm and the RF input level was −50 dBm, the IF output conversion gain of the dc carrier ranged between 6.33 and 8.98 dBm within a
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JEON et al.: W-BAND MIMO FMCW RADAR SYSTEM
9
Fig. 14. Measurement results of receiver. (a) Output power of receive channel 1. (b) Output power of receive channel 2. (c) Spurious wave in receive channel 1. (d) Spurious signal in channel 2. (e) Channel isolation in receive channel 1. (f) Channel isolation in receive channel 2.
flatness of 2.65 dB. The conversion gain and flatness of the IF frequency band 0.1–4 MHz satisfy the requirements. Considering the input dynamic range of the ADC board and detection range, the appropriate range of the receive gain is determined to be 40–50 dB. The receiving gain measured at an RF input level of −50 dBm and IF frequency range of 0.1–4 MHz was 47.3–48.3 dB, which satisfies the requirement. The measured receiver spurious wave ranged between −46.36 and −41.71 dBc, as shown in Fig. 14(c) and (d). The NF of the receiver was calculated using the measurement result of the spectrum analyzer [32] as NF = 174 dBm/Hz − GAIN + Pnoise = 174 dBm/Hz − 55.5 dB − 99.45 dBm/Hz = 19.05 dB
Fig. 15. Performance of reference clock signal. (a) Phase noise. (b) Power of harmonics.
(4)
where NF is the noise figure, GAIN is the receiver gain, and Pnoise is the output noise power. The measured isolation between the receive channels was 39 dB, as shown in Fig. 14(e) and (f). The receiver VSWR was 1.34–1.42. Fig. 15(a) shows the measurements of the reference clock signal. The phase noise of the reference clock signal was −141, −149, and −160 dBc/Hz at 1, 10, and 100 kHz, respectively. The output power of the 100-MHz signal was 1 dBm, and the power of the harmonic component was −47.25 dB. B. Antenna Measurement The antenna beam pattern was measured in a system consisting of a network analyzer Agilent E8364B, an LO/IF distribution unit Agilent 85309A, a mixer Agilent
83620B-H50 and 83602A-H50, an amplifier Agilent 83050A, and an RF amplifier unit ORBIT/FR AL-8502-4. Fig. 16(a) and (b) presents the simulation and measurement results of the E-plane and H -plane beam patterns. The simulated antenna gain was 21.57 dB, the E-plane beamwidth was 15.4°, and the H -plane beamwidth was 14°. The measured antenna gain was 22.9 dB, which is higher than 20 dB. The measured antenna E-plane beamwidth was 16°, and the H -plane beamwidth was 14.3°. The requirements for azimuth and elevation beamwidth were defined to be wider than 4° and 14°, respectively. Therefore, the designed antenna can be used in both the horizontal and vertical polarization modes, which enables the MIMO polarization operation of the radar.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE VII PARAMETERS FOR A NECHOIC C HAMBER E XPERIMENTS
Fig. 16. Simulation and measurement results of antenna beam pattern in (a) azimuth and (b) elevation.
Fig. 18. Range profiles of distinct signal paths of the MIMO radar with a target located at 5 m.
Fig. 17.
Proposed W-band MIMO FMCW radar system.
VI. P ERFORMANCE OF THE R ADAR S YSTEM The performance of the assembled radar system shown in Fig. 17 was tested in an anechoic chamber and outdoor. The MIMO processing capability of the radar system and the radar specification for imaging application was evaluated from the radar signals. A. Chamber Experiments The parameters of the experiment conducted in an anechoic chamber are listed in Table VII. The beat frequency is low because of the short distance to the target within the chamber. Since the receiver of the radar is tuned for the IF frequency band 0.1–4 MHz, the experiment was conducted with the adjusted chirp rate by reducing the pulsewidth to 300 μs for a bandwidth of 1 GHz. The two receive channels acquired the backscattered signals from the two simultaneously transmitted channels for a corner reflector with RCS of 1.5 m2 at 5 m. For MIMO processing, all signal paths should be distinguished. The simultaneously transmitted orthogonal signals can be separated through BFD demodulation. As a result,
Fig. 19. Impulse response to a target from the experiment in an anechoic chamber.
four range profiles from a combination of two transmit channels and two receive channels can be obtained separately as shown in Fig. 18. All four range profiles show a peak of a target located at a range of 5 m. The impulse response function to the corner reflector is shown in Fig. 19. To evaluate the radar specification for high-resolution imaging, the range resolution and peak characteristics were analyzed from the impulse response function. As expected from the theoretical range resolution of 0.15 m for a 1-GHz bandwidth FMCW radar, the range resolution is 0.15 m. In addition to the range information, the angle information of a target position can also be obtained using the multiple signal paths of the MIMO radar. The target position was varied in the elevation direction. At a distance of 5 m, the height of the target was increased by 0.1 m for each measurement. The estimation of the elevation angle of the target is shown in Fig. 20. The position of the targets was plotted using
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JEON et al.: W-BAND MIMO FMCW RADAR SYSTEM
Fig. 20.
11
Elevation estimation of a target using the MIMO radar. Fig. 23.
SNR comparison of targets with different RCSs.
Fig. 24.
SAR imaging result plotted in (a) 2-D and (b) 3-D.
TABLE VIII PARAMETERS FOR O UTDOOR E XPERIMENTS
Fig. 21. Range profiles of distinct signal paths of the MIMO radar with a target located at 100 m.
B. Outdoor Experiments
Fig. 22.
Impulse response to a target from the outdoor experiment.
the detected target range in the range profile and estimated elevation angle. The height step calculated from the elevation angle is 0.1134 and 0.1075 m.
Experiments were conducted outdoors to test the 100-m performance of the radar system. The parameters for the outdoor experiment are listed in Table VIII. The chirp rate was adjusted by increasing the pulsewidth to 1 ms at a bandwidth of 1-GHz bandwidth for the radar to operate at a distance of 100 m. The corner reflectors of RCS of 100 and 10 m2 were used. A target with RCS of 100 m2 was placed at a range of 100 m to obtain the range profiles. The range profiles of four signal paths of the 2 × 2 MIMO radar were obtained by BFD demodulation, as shown in Fig. 21. The range resolution
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 25. axis.
Impulse response to a target in (a) range axis and (b) cross-range
and peak characteristics were analyzed from the impulse response to a target shown in Fig. 22, to evaluate the radar specification for high-resolution imaging. The range resolution is 0.15 m, as expected from the theoretical range resolution of a 1-GHz bandwidth FMCW radar. Fig. 23 shows a comparison of the SNR for the target RCS of 10 and 100 m2 at 100 m. The SNR of the 100-m2 target was 10 dB higher than the 10-m2 target. VII. A PPLICATION OF H IGH -R ESOLUTION I MAGING A SAR image was obtained using the radar system. A corner reflector with an RCS of 100 m2 was located at a range of 100 m as a target. The experimental parameters were the same as those provided in Table VIII. A synthetic aperture length of 1.1 m is required to obtain an azimuth resolution equal to a range resolution of 0.15 m. The range migration algorithm was used to generate the SAR image. The 2-D and 3-D plots of the imaging result are shown in Fig. 24(a) and (b), respectively. The impulse response on the range axis is shown in Fig. 25(a). The measured range resolution is 0.145 m, the peak sidelobe ratio (PSLR) is −17.35 dB, and the integrated sidelobe ratio (ISLR) is −15.79 dB. The impulse response on the cross range axis is shown in Fig. 25(b). The measured azimuth resolution is 0.139 m, the PSLR is −13.28 dB, and the ISLR is −10.88 dB. VIII. C ONCLUSION A W-band MIMO FMCW radar system using simultaneously transmitted orthogonal waveform signals which target high-resolution applications has been developed. The proposed
radar system offers the possibility for applications on moving platforms, overcoming the limitations of the switching method through the simultaneous transmission. BFD was selected as a suitable orthogonal waveform for testing and operating the radar system. The feasibility of the system architecture as a MIMO radar for high-resolution imaging has been evaluated through measurements and experiments. The transceiver, antenna, and signal PS were designed and implemented according to system requirements. The transceiver consisted of two transmit channels and two receive channels. The measured transmit power ranged between 18.49 and 19.25 dBm in channel 1 and 18.06 and 19.31 dBm in channel 2 for a frequency band of 93.5–94.5 GHz. Both the transmit channels achieved a transmit power higher than 18 dBm. Compact horn antennas were fabricated, whose gain was 22.9 dB and azimuth and elevation beamwidths were 16° and 14.3°, respectively. The signal PS including a highspeed two-channel ADC was implemented. The radar signals were acquired using the signal PS at a sampling frequency of 10 MHz. The performance of the radar system was tested through experiments in an anechoic chamber and outdoors. The MIMO capability was verified through the range profile acquisition using BFD demodulation and elevation angle estimation. The simultaneously transmitted orthogonal signals were separated through BFD demodulation, and respective range profiles for the four distinct signal paths were obtained. The target at a 100-m range was detected in all four range profiles. The range resolution and peak characteristics were analyzed from the impulse response to a target. The range resolution of the radar is 0.15 m. The requirements of range resolution and detection range were satisfied. The feasibility of the radar system for high-resolution imaging applications was verified through the 2-D SAR imaging results using the radar system. The range resolution and azimuth resolution were measured to be 0.15 m from the impulse response to a target at a 100-m range. The proposed radar system provides the possibility for various studies on MIMO radar applications. Taking advantage of its W-band operation and the diverse functions provided by the MIMO technology, the radar system can be utilized in various applications. In addition, it can be operated on both stationary and moving platforms due to its capability for simultaneous transmission. R EFERENCES [1] J. Kim, “Multiple-input multiple-output synthetic aperture radar for multimodal operation,” M.S. thesis, Dept. Elect. Eng. Inf. Technol., Karlsruhe Inst. Technol., Karlsruhe, Germany, 2012. [2] K. Ouchi, “Recent trend and advance of synthetic aperture radar with selected topics,” Remote Sens., vol. 5, pp. 716–807, Feb. 2013. [3] Q. Xin, Z. Jiang, P. Cheng, and M. He, “Signal processing for digital beamforming FMCW SAR,” Math. Problems Eng., vol. 2014, Mar. 2014, Art. no. 859890. [4] A. Meta and P. Hoogeboom, “Development of signal processing algorithms for high resolution airborne millimeter wave FMCW SAR,” in Proc. IEEE Int. Radar Conf., Piscataway, NJ, USA, May 2005, pp. 326–331. [5] W. Mayer, M. Meilchen, W. Grabherr, P. Nuchter, and R. Guhl, “Eightchannel 77-GHz front-end module with high-performance synthesized signal generator for FM-CW sensor applications,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 993–1000, Mar. 2004.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JEON et al.: W-BAND MIMO FMCW RADAR SYSTEM
[6] S. Hantscher et al., “Security pre-screening of moving persons using a rotating multichannel W -band radar,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 870–880, Mar. 2012. [7] S. Lee et al., “W -band multichannel FMCW radar sensor with switchingTX antennas,” IEEE Sensors J., vol. 16, no. 14, pp. 5572–5582, Jul. 2016. [8] D. Bleh et al., “W -band time-domain multiplexing FMCW MIMO radar for far-field 3-D imaging,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 9, pp. 3474–3484, Sep. 2017. [9] M. Steinhauer, H.-O. Ruo, H. Irion, and W. Menzel, “Millimeter-waveradar sensor based on a transceiver array for automotive applications,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 261–269, Feb. 2008. [10] M. Soumekh, Synthetic Aperture Radar Signal Processing With MATLAB Algorithms. New York, NY, USA: Wiley, 1999. [11] W. G. Carrara, R. S. Goodman, and R. M. Majewski, Spotlight Synthetic Aperture Radar: Signal Processing Algorithms. Boston, MA, USA: Artech House, 1995. [12] J. Miller, E. Bishop, and A. Doerry, “An application of backprojection for video SAR image formation exploiting a subaperature circular shift register,” Proc. SPIE, vol. 8746, p. 874609, Apr. 2013. [13] H. B. Wallace, “Development of a video SAR for FMV through clouds,” Proc. SPIE, vol. 9479, p. 94790L, May 2015. [14] A. Moreira, P. Prats-Iraola, M. Younis, G. Krieger, I. Hajnsek, and K. Papathanassiou, “A tutorial on synthetic aperture radar,” IEEE Geosci. Remote Sens. Mag., vol. 1, no. 1, pp. 6–43, Mar. 2013. [15] H. B. Wallace, “Video synthetic aperture radar (ViSAR),” in Proc. DARPA, Arlington, VA, USA, 2012. [16] M. Younis, C. Fischer, and W. Wiesbeck, “Digital beamforming in SAR systems,” IEEE Trans. Geosci. Remote Sens., vol. 41, no. 7, pp. 1735–1739, Jul. 2003. [17] N. Gebert, G. Krieger, and A. Moreira, “Digital beamforming on receive: Techniques and optimization strategies for high-resolution wide-swath SAR imaging,” IEEE Trans. Aerosp. Electron. Syst., vol. 45, no. 2, pp. 564–592, Apr. 2009. [18] D. Cerutti-Maori, I. Sikaneta, J. Klare, and C. H. Gierull, “MIMO SAR processing for multichannel high-resolution wide-swath radars,” IEEE Trans. Geosci. Remote Sens., vol. 52, no. 8, pp. 5034–5055, Aug. 2014. [19] B. Guo, D. Vu, L. Xu, M. Xue, and J. Li, “Ground Moving Target Indication via Multichannel Airborne SAR,” IEEE Trans. Geosci. Remote Sens., vol. 49, no. 10, pp. 3753–3764, Oct. 2011. [20] J.-H. Kim, A. Ossowska, and W. Wiesbeck, “Investigation of MIMO SAR for interferometry,” in Proc. 4th Eur. Radar Conf., Munich, Germany, Oct. 2007, pp. 51–54. [21] G. Krieger, I. Hajnsek, K. Papathanassiou, M. Younis, and A. Moreira, “Interferometric synthetic aperture radar (SAR) missions employing formation flying,” Proc. IEEE, vol. 98, no. 5, pp. 816–843, May 2010. [22] W. Xu, P. P. Huang, and Y. K. Deng, “MIMO-TOPS mode for highresolution ultra-wide-swath full polarimetric imaging,” Prog. Electromagn. Res., vol. 121, pp. 19–37, Oct. 2011. [23] J. Klare, A. Brenner, and J. Ender, “A new airborne radar for 3D imaging—Image formation using the ARTINO principle,” in Proc. 6th Eur. Conf. Synth. Aperture Radar (EUSAR), Dresden, Germany, May 2006, pp. 16–18. [24] J. H. Kim, M. Younis, A. Moreira, and W. Wiesbeck, “Spaceborne MIMO synthetic aperture radar for multimodal operation,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 5, pp. 2453–2466, May 2015. [25] S. Kim, J. Yu, S.-Y. Jeon, A. Dewantari, and M.-H. Ka, “Signal processing for a multiple-input, multiple-output (MIMO) video synthetic aperture radar (SAR) with beat frequency division frequency-modulated continuous wave (FMCW),” Remote Sens., vol. 9, no. 5, p. 491, 2017. [26] J. J. M. de Wit, W. L. van Rossum, and A. J. de Jong, “Orthogonal waveforms for FMCW MIMO radar,” in Proc. IEEE Radar Conf., Kansas City, MO, USA, May 2011, pp. 686–691. [27] J. Wang et al., “Multi-input multi-output frequency-modulated continuous wave synthetic aperture radar system using beat-frequency division waveforms,” Meas. Sci. Technol., vol. 24, no. 7, pp. 074016–074024, 2013. [28] J.-H. Kim, M. Younis, A. Moreira, and W. Wiesbeck, “A novel OFDM chirp waveform scheme for use of multiple transmitters in SAR,” IEEE Geosci. Remote Sens. Lett., vol. 10, no. 3, pp. 568–572, May 2013. [29] W.-Q. Wang, “Large time-bandwidth product MIMO radar waveform design based on chirp rate diversity,” IEEE Sensors J., vol. 15, no. 2, pp. 1027–1034, Feb. 2015. [30] D. K. Barton, Radar Equations for Modern Radar. Boston, MA, USA: Artech House, 2012.
13
[31] 10-Bit, 200-MSPS, 4-Channel and 12-Bit, 80-MSPS, 8-Channel Analog-to-Digital Converter, document ADS5296A, Texas Instrum. Incorporated, Dallas, TX, USA, Oct. 2013. [32] “Fundamentals of RF and microwave noise figure measurements,” Keysight Technol., Santa Rosa, CA, USA, Appl. Note 57-1, 2017. Se-Yeon Jeon (GS’16–M’18) received the B.S. degree in IT convergence technology from Yonsei University, Seoul, South Korea, in 2014, where she is currently pursuing the Ph.D. degree at the School of Integrated Technology, Yonsei Institute of Convergence Technology. Her current research interests include the development for microwave sensors, electromagnetic wave signal processing, and synthetic aperture radars.
Min-Ho Ka (M’06) received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, South Korea, in 1989 and 1991, respectively, and the Ph.D. degree in radio engineering from the Moscow Power Engineering Institute, Moscow, Russia, in 1997. From 1997 to 2000, he was with the Agency for Defense Development, Ministry of Defense, South Korea, for the development of microwave imaging sensors and spaceborne and airborne synthetic aperture radar (SAR). From 2002 to 2010, he was a Professor with Korea Polytechnic University, Siheung, South Korea, where he was the Head of the Department of Electronic Engineering, the Dean of Planning Office, and the Deputy Director of the Korea–Russia Industrial Technology Cooperation Centre. He is currently an Associate Professor with the Radar Systems and Wave Sensing Laboratory, School of Integrated Technology, Yonsei Institute of Convergence Technology, Yonsei University, and a Chairman with the Radar Group, Korean Institute of Electromagnetic Engineering and Science. His current research interests include the system design and development of microwave sensors and spaceborne and airborne SAR. Seungha Shin received the B.S., M.S., and Ph.D. degrees in electrical and electronics engineering from Kyunghee University, Seoul, South Korea, in 1992, 1994, and 2012, respectively. He is currently a Principal Engineer with the U-Tel Company, Ltd., Gunpo, South Korea. His current research interests include integrated circuits and systems for radar and EW field from L-band to millimeter wave.
Munsung Kim received the B.S. degree in telecommunication engineering from Hanyang Cyber University, Seoul, South Korea, in 2012. He is currently a Principal Engineer with the U-Tel Company, Ltd., Gunpo, South Korea. His current research interests include high-frequency active circuit and transceiver and digital radar transceiver hardware design.
Seok Kim received the B.S. and M.S. degrees in electrical engineering from Korea Aerospace University, Goyang, South Korea, in 1998 and 2000, respectively. He is currently pursuing the Ph.D. degree at the Integrated School of Technology, Yonsei University, Seoul, South Korea. From 2000 to 2006, he was a Digital Signal Processing Software Engineer with STX Engine, Yongin, South Korea. Since 2006, he has been a Radar System Engineer with Hanwha Thales, Yongin. His current research interests include radar system engineering, advanced synthetic aperture radar (SAR) techniques, such as digital beamforming and orthogonal-frequency-division-multiplexing waveform design, and multi-input multi-output SAR systems.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Sumin Kim received the B.S. degree in IT convergence technology from Yonsei University, Seoul, South Korea, in 2016, where he is currently pursuing the Ph.D. degree at the School of Integrated Technology, Yonsei Institute of Convergence Technology. His current research interests include highresolution radar, synthetic aperture radar, and realtime radar signal processing.
Jeongbae Kim received the B.S.E. degree from Yonsei University, Seoul, South Korea, in 2017. Since 2017, he has been with the Combined Master’s and Doctor’s Program, Radar Systems and Wave Sensing Laboratory, School of Integrated Technology, Yonsei University. He was participating in several projects including small drone-based synthetic aperture radar systems. His current research interests include SAR, its motion compensation, and radar-based exploration.
Aulia Dewantari received the B.S. degree in telecommunication engineering from the Institut Teknologi Bandung, Bandung, Indonesia, in 2012. She is currently pursuing the Ph.D. degree at the School of Integrated Technology, Yonsei Institute of Convergence Technology, Yonsei University, Seoul, South Korea. Her current research interests include microwave radar imaging, thermoacoustic imaging, and antenna and transmission line design.
Jaeheung Kim received the B.S. degree in electronic engineering from Yonsei University, Seoul, South Korea, in 1989, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Colorado at Boulder, Boulder, CO, USA, in 1998 and 2002, respectively. From 1992 to 1995, he was with the DACOM Corporation, Anyang, South Korea, where he was involved with wireless communication systems. From 2002 to 2006, he was with the Department of Electrical and Electronic Engineering, Kangwon National University, Chuncheon, South Korea. From 2006 to 2008, he was with KAIST, Daejeon, South Korea. In 2008, he joined Yonsei University. His current research interests include lens antennas, beam-forming arrays, millimeter-wave sensing and imaging, and radar front ends.
Hansup Chung received the B.S. degree in electronic engineering from Yonsei University, Seoul, South Korea, in 1994. From 1994 to 1997, he was with the Hanwha Information and Telecommunications Company Ltd., South Korea. From 1998 to 2001, he was with the Hyper Information and Telecommunications Company Ltd., South Korea. He is currently the President of Gain Technology, South Korea. His current research interests include radar sensor hardware and DSP with field-programmable gate arrays for radar systems.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
An Efficient Algorithm for MIMO Cylindrical Millimeter-Wave Holographic 3-D Imaging Jingkun Gao , Member, IEEE, Bin Deng, Yuliang Qin, Hongqiang Wang, and Xiang Li Abstract— A novel cylindrical millimeter-wave holography regime using a multi-input-multi-output (MIMO) array is first introduced. More importantly, an accurate and fast 3-D imaging algorithm is developed for this regime. Although many efficient imaging algorithms for synthetic aperture radar (SAR) or circular SAR have been developed, they can hardly be used for this new regime due to the compound effects of a spherical wavefront, MIMO geometry, and cylindrical observation aperture. In this paper, we develop an efficient algorithm mainly based on the ideas of a spherical wave decomposition, circular convolution, and nonuniform fast Fourier transform. The proposed algorithm achieves similar imaging quality with the golden-standard backprojection algorithm (BPA) while costs much less time. Compared with the BPA, the proposed algorithm belongs to a kind of the frequency-domain method built upon range migration techniques. Detailed derivations are first presented. Then, several important issues including resolutions, sampling criteria, and computational complexities are analyzed. Finally, a series of simulations and experiments are carried out, and all the results verify the effectiveness of the proposed algorithm on both accuracy and efficiency. Index Terms— Millimeter-wave (MMW) holography, multiinput multi-output (MIMO), range migration algorithm (RMA), synthetic aperture imaging, 3-D imaging.
I. I NTRODUCTION CTIVE short-range millimeter-wave (MMW) holography systems are capable to obtain high-resolution 3-D images of the target, and it is an important application of radar imaging techniques in the civilization fields. Since its first proposal in [1], a lot of related research has been carried out and great progress has been made. In MMW holography systems, antennas are of wide beamwidth, and a relatively large aperture illuminating the target is synthesized. Along with the broadband transmitted signal, 3-D images of high resolution and large dynamic range can be obtained. Besides, MMW is of moderate penetration for nonmetal materials such as clothes or wrappers. All these facts make MMW holography a valuable technology in many applications including concealed
A
Manuscript received May 14, 2018; revised July 10, 2018; accepted July 16, 2018. This work was supported by the National Natural Science Foundation of China under Grant 61571011. (Corresponding author: Bin Deng.) The authors are with the College of Electronic Science and Engineering, National University of Defense Technology, Changsha 410073, China (e-mail:
[email protected]). This paper has supplementary downloadable material available at http://ieeexplore.ieee.org, provided by the authors. This includes two .gif files. The file “human_model_simulation.gif” is an animated figure that shows the 3-D imaging results of different sub-apertures of the human model using Feko calculation data. The file “tank_model_experiment.gif” is an animated figure that shows the 3-D imaging results of different sub-apertures of the tank model using experimental data. This material is 1.76 MB in size. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2859269
threats detection [2], [3], industrial nondestructive testing [4], [5], and ground penetrating radar [6]. Growing applications and requirements call for new technologies, and they are pushing researchers to develop more advanced regimes and more efficient imaging algorithms. To date, many different kinds of MMW holography regimes have been proposed. For example, from the perspective of the working mode, they can be divided into the single-input single-output (SISO) mode [7] and the multi-input-multi-output (MIMO) mode [8], or from the perspective of the shape of the observation aperture, they can be divided into planar aperture [9], [10], cylindrical aperture [11], and so on. Pacific Northwest National Laboratory (PNNL), Richland, WA, USA, was the trailblazer in this field that they built the world’s first MMW holographic imaging system which employed a planar SISO regime [7]. In this system, a horizontal 1-D SISO array and a mechanism that drove the array to reciprocate vertically were used to generate an equivalent 2-D observation aperture. Together with a wideband probing signal, high-quality 3-D images with millimeter-level resolution could be obtained. This imaging regime can be simply regarded as the 3-D version of the traditional 2-D synthetic aperture radar (SAR), and typical 2-D SAR imaging algorithms such as the chirp scaling algorithm [12], the frequency scaling algorithm [13], and the range migration algorithm (RMA) [14] can be easily generalized to 3-D cases. We will use “SISO planar” to refer to this regime in the remainder of this paper. Except the SISO-planar regime, PNNL developed another MMW holography system which we dub “SISO cylindrical” [11]. In this regime, a vertically posed 1-D SISO array and a circularly scanning mechanism were used to form a cylindrical 2-D observation aperture. Actually, this regime is very similar to the elevation circular SAR (ECSAR) proposed by Bryant et al. [15]. The imaging algorithm for SISO cylindrical (or ECSAR) can be obtained by extending the algorithm of circular SAR (CSAR) to the third dimension, i.e., the elevation dimension. Compared with the SISO-planar regime, one significant advantage of SISO cylindrical is that it can achieve 360◦ illumination and the target can be imaged with much less blind sides. More recently, MIMO technologies have been introduced to MMW holography [16]. By using MIMO topologies, one can achieve imaging in higher dynamic range with fewer transmitters and receivers. This helps a lot to lower the costs and loosen the restriction on the minimum distance between adjacent antennas. Also, MIMO geometries diversify the illumination pattern which helps to gain more information of the target. The R&S Corporation is one of the famous representatives in this field. They successfully developed the
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Quick Personnel Security Scanner (QPS) system [17] that employed an advanced design which we dub “2-D MIMO.” In QPS, no scanning mechanism was used, and the transmitters/receivers were directly installed in the 2-D planar aperture. As a result, this system can achieve “snapshot imaging” theoretically. However, no efficient imaging algorithms are now available for general 2-D MIMO topologies, and QPS adopts the nonpreferred back-projection algorithm (BPA). This leads to tremendous calculations that raise the costs and lengthen the imaging time. Although an efficient algorithm is proposed in [8], it is only suitable for specified array designs and cannot be applied to the array topology of the QPS system. Moulder et al. [18] proposed a fast imaging solution with a similar 2-D MIMO topology toward real-time threats’ detection. However, the algorithm introduced strong approximations, and the imaging quality was more or less degraded. Besides, some fruitful research on the optimization of the 2-D MIMO topologies has also been carried out [19]–[21]. Another representative MMW holography regime is what we dub “MIMO planar.” Its idea is to combine the above two regimes, i.e., SISO planar and 2-D MIMO. The MIMO-planar regime performs better than SISO planar regime since the MIMO technology is employed, and it costs much less than 2-D MIMO since only a 1-D MIMO array is used. Zhuge and Yarovoy [2] and Gumbmann and Schmidt [16] studied several fundamental issues including system design and array optimization, and corresponding efficient imaging algorithms were proposed recently [22]. Some other interesting regimes have also been proposed aiming at specific requirements, such as the SISO/MIMOradial regimes [23], [24]. Compared with SISO/MIMO-planar regimes where the array moves up and down alternatively, SISO/MIMO-radial is capable to image the target continuously. Fig. 1(a)–(e) shows the sketches of all the abovementioned regimes. From the above review, one can note that MMW holographic imaging techniques are developing fast in both regime designs and imaging algorithms to meet various kinds of growing application needs. In this paper, a novel MMW holography system, “MIMO cylindrical” [Fig. 1(f)], is proposed which can be treated as the combination of “SISO cylindrical” and “MIMO planar.” This regime inherits both the advantages of MIMO techniques and the ability to “see” the target with little blind sides. More importantly, an accurate and fast 3-D imaging algorithm is developed. In Section II, the echo model for the MIMO-cylindrical regime is first established followed by detailed formula derivations and algorithm steps. In Section III, some important issues including spatial resolutions, sampling criteria, and computational complexities are analyzed. A series of numerical simulations and laboratory experiments are carried out in Section IV to verify the proposed algorithm. Finally, we conclude this paper in Section V. II. M ETHOD According to the coordinate and variables defined in Fig. 2, the echo signal can be written as s(k, ϕ, z T , z R ) = o(x , y , z ) × exp(− j k RT − j k R R )d x d y dz
(1)
Fig. 1. Sketches of different MMW holography regimes. (a) SISO planar. (b) SISO cylindrical (ECSAR). (c) 2-D MIMO. (d) MIMO planar. (e) SISO/MIMO radial. (f) MIMO cylindrical (proposed).
Fig. 2.
Geometry and coordinate definition.
where RT = (x − R0 cos ϕ)2 + (y − R0 sin ϕ)2 + (z − z T )2 R R = (x − R0 cos ϕ)2 + (y − R0 sin ϕ)2 + (z − z R )2 (2) and s(k, ϕ, z T , z R ) is the echo signal. k = 2π/λ is the wavenumber. ϕ is the angle between the antenna array and the positive x-axis. z T and z R represent the height of transmitters and receivers. o(x , y , z ) represents the reflectivity map of the target, and RT and R R represent the distances between the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: EFFICIENT ALGORITHM FOR MIMO CYLINDRICAL MMW HOLOGRAPHIC 3-D IMAGING
target and transmitters/receivers, respectively. As for imaging, the phase information of the echo dominates the focusing process. Factors such as propagation attenuation and antenna radiation pattern that mainly impact the echo amplitude are neglected in (1), which is helpful to simplify the following derivations. With the echo model, one famous universal imaging method is the BPA. For (1), its corresponding BPA can be expressed as s(k, ϕ, z T , z R ) o(x ˆ ,y ,z ) = × exp( j k RT + j k R R )dkdϕdz T dz R .
(3)
exp(− j k RT ) ≈ exp(− j k z,T (z − z T )) × exp(− j kρ,T (x − R0 cos ϕ)2 + (y − R0 sin ϕ)2 )dk z,T (4) exp(− j k R R ) ≈ exp(− j k z,R (z − z R )) × exp(− j kρ,R (x − R0 cos ϕ)2 + (y − R0 sin ϕ)2 )dk z,R (5) where kρ,T and kρ,R stand for radial wavenumber components of the transmitters and receivers, respectively, and k z,T and k z,R are the corresponding vertical wavenumber components. These variables are related and restricted by the following dispersion relations: 2 2 , k = k 2 − k z,T kρ,T ρ,T > 0 (6) 2 2 2 kρ,R = k − k z,R , kρ,R > 0. On the right side of (4) and (5), the phase terms exp( j k z,T z T ) and exp( j k z,R z R ) can be found, which are actually Fourier kernels. Consequently, according to the duality property of the Fourier transform, we can get exp(− j k RT ) exp(− j k z,T z T )dz T ≈ exp(− j kρ,T (x − R0 cos ϕ)2 + (y − R0 sin ϕ)2 )
× exp(− j k z,T z )
(7)
exp(− j k R R ) exp(− j k z,R z R )dz R ≈ exp(− j kρ,R (x − R0 cos ϕ)2 + (y − R0 sin ϕ)2 ) × exp(− j k z,R z ).
Substitute (4) and (5) into (1), and conduct Fourier transforms with respect to z T and z R on both sides. Using (7) and (8), we can get
s (k, ϕ, k z,T , k z,R ) = FTz T ,z R [s(k, ϕ, z T , z R )] = o(x , y , z ) × exp(−j (kρ,T +kρ,R ) (x − R0 cos ϕ)2 +(y − R0 sin ϕ)2 ) × exp(− j (k z,T + k z,R )z )d x d y dz . Set
BPA is of the fully focusing capability for arbitrary observation geometry, and it usually acts as the benchmark to verify newly developed algorithms. In the simulation and experimental sections, we will also use (3) as the benchmark. Nevertheless, it is nonpreferred for practical applications due to its heavy computational complexity. According to (1) and (2), the phase terms exp(− j k RT ), exp(− j k R R ) can be decomposed into (4) and (5), respectively [25]. This procedure is similar to that in traditional SISO-based ECSA · R [26]
(8)
3
k z k z,T + k z,R kρ kρ,T + kρ,R
(9)
(10)
and (9) can be transformed into s˜ (k, ϕ, k z ) = o(x , y , z ) exp(− j k z z ) × exp(−j kρ (x − R0 cos ϕ)2 +(y − R0 sin ϕ)2 )d x d y dz (11) where
s˜(k, ϕ, k z ) = s (k, ϕ, k z,T , k z,R ).
(12)
Equation (12) indicates the process to transform the original 4-D signal s (k, ϕ, k z,T , k z,R ) into the 3-D spectral domain complying with (6) and (10). Checking (11), one can note that it is the same as the traditional CSAR echo model mathematically [26]. As a result, imaging techniques such as circular convolution can be used to focus the image. More detailed discussions on this technique can be found in [27] and [28], and we will not repeat them here. Finally, we can write the imaging formula as o(x ˆ , y , z ) = IFTkx ,k y ,kz [˜s (k, ϕ, k z ) ∗(ϕ) exp( j kρ R0 cos ϕ)] (13) where k x = kρ cos ϕ and k y = kρ sin ϕ represent the wavenumber components along the x-axis and y-axis in the Cartesian coordinate system. ∗(ϕ) stands for the convolution with respect to ϕ, and this operation can be accelerated in the spectral domain. On the right side of (13), a transformation from the cylindrical coordinate to the Cartesian coordinate is implicitly conducted before the inverse Fourier transform. As the above idea and derivations are inspired by the conventional RMA, we will use “MIMO-ECSAR-RMA” to refer to the proposed imaging algorithm. Main steps of the proposed MIMO-ECSAR-RMA are concluded in Fig. 3. In the above derivations, signals are all expressed in their continuous form, while they actually appear as discrete signals in computers. This difference should be carefully handled, or some nontrivial problems may occur. Specifically, step one and step four in Fig. 3 all face the problem of applying the Fourier transform to nonuniformly sampled signals. In this paper, we use the fast Gaussian gridding (FGG)-based nonuniform fast Fourier transform (NUFFT) [29] to tackle such problems when needed.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
horizontal plane is J1 (2kmaxr ) J1 (2kminr ) − kmin (15) πr πr where kmax and kmin are the maximum and minimum wavenumbers, respectively, J1 (·) is the first-order Bessel function. Based on (15), we can deduce that the horizontal resolution is [31] 2.4 δρ = . (16) kmax + kmin In (14) and (16), an ideal point scatter is used in default. As aforementioned, for real targets, only a subset of the entire cylindrical aperture can “see” the particular part of the target. As a result, the real resolving ability may be lower than those shown in (14) and (16). Strictly speaking, (14) and (16) reveal the upper limits of the system’s resolutions. PSF(r, φ) = kmax
Fig. 3.
Main steps of the proposed MIMO-ECSAR-RMA.
B. Sampling Criteria III. S EVERAL I MPORTANT I SSUES A. Resolutions In radar imaging systems, theoretical resolutions are usually derived using the expression of the point spread function (PSF). Actually, two implicit hypotheses are made in this method. First, there exists an analytic expression of the PSF, and the PSF should be spatial invariant and separable. Second, the target is supposed to be an ideal point scatter, which is reasonable when the observation angle is relatively small. Unfortunately, neither of these two hypotheses holds in the proposed MIMO-cylindrical regime. First, as MIMO techniques are used and the distance between the target and the array is relatively short, no compact form expression of the PSF exists. Also, the PSF is no longer spatial invariant and separable. Second, the scattering of the target is strongly anisotropic due to the cylindrical observation geometry, which makes it quite different from the behavior of an ideal point scatter. That is to say, the actual resolution can be different from target to target. All these issues make it difficult to provide a strict and accurate analysis on resolution, and some simplifications are needed. We will discuss the system resolutions in the vertical direction and the horizontal direction separately. Vertically, the resolution is determined by the MIMO array and is independent of the horizontal rotation. Consequently, using the effective aperture approach [2], the vertical resolution can be approximately expressed as δz ≈
λc R0 L z,T + L z,R
(14)
where L z,T and L z,R represent the length of the transmitting aperture and receiving aperture, respectively, and they are defined as L z,T = max z T − min z T and L z,R = max z R − min z R . Horizontally, we confine the problem in the horizontal 2-D plane. Then, it is very similar to the CSAR model with zero flight height. According to [30], we know that the PSF in the
In practical systems, to avoid aliasing effects, certain criteria on samplings intervals should be satisfied. Suppose that the target can be held by a cylinder with a radius of Rt and a height of Dz . According to [2] and [28], we can deduce that the following sampling criteria should be satisfied: ⎧ π k < ⎪ ⎪ ⎪ 2Rt ⎪ ⎪ ⎪ ⎪ ⎨ π R02 + Rt2 ϕ < (17) 2k ⎪ max R0 Rt ⎪ ⎪ ⎪ ⎪ (L z + Dz )2 /4 + R02 ⎪ ⎪ ⎩ z < λ 2 L z + Dz where z represents the interval of adjacent equivalent phase centers of the MIMO array and L z is the length of the equivalent transceiver array. C. Computational Complexity To analyze the computational complexity quantitatively, we first define the following variables: the number of frequency samplings Nk , the number of fast Fourier transform (FFT) points with respect to k NkFFT , the number of azimuth angle samplings Nϕ , the number of transmitters and receivers Nz T and Nz R , the points of FFT with respect to transmitters Nkz,T , the points of FFT with respect to receivers Nkz,R , and the number of pixels of the image along the x-axis, y-axis, and z-axis Nx , N y , and Nz . We have analyzed the amount of calculations of the proposed MIMO-ECSAR-RMA and the standard BPA represented by (3), and the results are listed in Tables I and II, respectively. In Table I, C1 represents the calculation amount of the 1-D interpolation operation for each source point, and C2 is the calculation amount of the 2-D interpolation operation for each source point. C1 and C2 are constants that are related to the specific methods, parameters, and implementations. In Table II, C3 represents the calculation amount of the projection operation onto one pixel for one pulse. It contains at least one complex multiplication, one complex addition, one 1-D interpolation, and one exponent arithmetic. C3 is also a constant.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: EFFICIENT ALGORITHM FOR MIMO CYLINDRICAL MMW HOLOGRAPHIC 3-D IMAGING
5
TABLE I M AIN S TEPS OF THE P ROPOSED MIMO-ECSAR-RMA AND C ORRESPONDING C ALCULATIONS
Fig. 4.
Array structure for point target simulation.
Fig. 5. (a) Observation geometry and the cylindrical aperture. (b) Coordinates of nine ideal point scatters. TABLE II M AIN S TEPS OF BPA AND C ORRESPONDING C ALCULATIONS
According to Tables I and II, the total amount of calculations of both algorithms can be obtained by summing up the calculations of each step, and we will omit them here. To give a more intuitive comparison on the computational complexities of the two algorithms, we suppose that Nk , NkFFT , Nϕ , Nx , N y , and Nz are in the same order of a given number N, and Nz T , √ Nz R , Nkz,T , and Nkz,R are in the order of N . Consequently, we can obtain that the computational complexities of the two algorithms are O(N 3 log2 N ) and O(N 5 ), respectively. From the above analyses, the superiority of the proposed MIMOECSAR-RMA on computational complexity can be clearly seen. The following simulation and experimental results will further verify the conclusion here. IV. R ESULTS A. Point Targets’ Simulations and Performance Analysis In this section, (18) is used to generate the echo signal
s(k, ϕ, z T , z R ) =
N
o x i , yi , z i exp(−j k RT − j k R R )
(18)
i=1
where N is the number of point targets; other parameters have been defined under (1). Fig. 4 shows the array structure we employ in this simulation. To be clear, transmitters and receivers are drawn in two parallel lines while they actually lie in the same line. Since we focus our attention on the imaging algorithm, problems such as array topology optimization will not be discussed in this paper. The research on related topics can be found in [19] and [21]. Therefore, no comparisons
between different array structures will be made, while different algorithms will be compared under the same array topology. The equivalent length of the array in Fig. 4 is about 0.3 m, with seven unevenly distributed transmitters and 51 uniformly distributed receivers included. The radius of the cylindrical aperture is 0.3 m. The number of azimuth angle samplings is 800. The simulation frequency ranges from 30 to 36 GHz with 31 samples and a sampling interval of 200 MHz. Fig. 5 shows the geometry of the cylindrical aperture and nine ideal point targets. In the simulation, when the MIMO array is at a given azimuth position, transmitters transmit probing signals one by one, and all the receivers are receiving echoes for each transmitter. After all transmitters have finished transmitting signals, the array moves to the next azimuth position and the next round of transmitting and receiving starts. The above process repeats until an entire 360◦ aperture is formed. Consequently, the whole observation process will generate a 31 × 51 × 7 × 800(Nk × Nz R × Nz T × Nϕ ) data tensor. Imaging results by the proposed MIMO-ECSAR-RMA and the BPA are shown in Fig. 6. The imaging area is a 0.3 × 0.3 × 0.3 m3 cube with 200 × 200 × 200 voxels. We can see that the images obtained by the two algorithms are of highly consistence. They both achieve fully focusing, and all nine points are focused on their ground truth positions. To be accurate and quantitative, one of the nine points, i.e., the point at the origin, is selected to perform further analysis. The enlarged imaging result of this point scatter is shown in Fig. 7. The cross sections of the PSFs along horizontal and vertical directions of both algorithms are shown in Fig. 8. Quantitatively, we employ peak sidelobe ratio and integral sidelobe ratio as performance indexes. The results are listed in Table III. In the comparisons, no sidelobe reduction techniques are used and the realization of the proposed MIMOECSAR-RMA and the BPA strictly adhere to (13) and (3). From Fig. 8 and Table III, we can see that the proposed MIMO-ECSAR-RMA is very competitive and achieves similar focusing performance compared with the BPA. Moreover, the point scatter as (−0.1, −0.1, −0.1) is also used to conduct
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 8.
Cross sections of the PSFs. (a) Horizontal. (b) Vertical. TABLE III C OMPARISONS ON A LGORITHM P ERFORMANCES
Fig. 6. Maximum projection of the 3-D images onto the yz plane for (a) proposed MIMO-ECSAR-RMA and (b) BPA. 3-D views of the imaging results for (c) proposed MIMO-ECSAR-RMA and (d) BPA.
TABLE IV C OMPARISONS ON THE T IME N EEDS
Fig. 9.
Array structure for full-wave EM simulation.
Xeon E5-2699-v3 platform using MATLAB codes, and no parallel computing techniques such as GPU acceleration are used. The BPA needs more than two days to achieve imaging while MIMO-ECSAR-RMA needs about 2 min which is no more than 0.06% that of the BPA. B. Results of Electromagnetic Calculation Data
Fig. 7. PSFs of different algorithms. Maximum projection onto the yz plane for (a) proposed MIMO-ECSAR-RMA and (b) BPA. Maximum projection onto the xy plane for (c) proposed MIMO-ECSAR-RMA and (d) BPA. 3-D views of the imaging results for (e) proposed MIMO-ECSAR-RMA and (f) BPA.
a comparison between the two algorithms. The results lead to the same conclusion as that concluded using the original point, and the details are omitted here as they are very similar to Figs. 7 and 8, and Table III. Besides, a more significant advantage of the proposed algorithm is its efficiency. Table IV lists the time needs of the two algorithms for obtaining Fig. 6. The data are obtained on a personal computer (PC) with Intel
In this section, a much more practical and complicate target, i.e., a human model, is used to test the proposed imaging algorithm. The scattering data are calculated by the widely used electromagnetic (EM) calculation software FEKO. In the simulation,1 an electric dipole is used as the stimulation which acts as the transmitter, and the near-field scattering data at the locations of the receivers are solved. The locations of the dipole and the calculated near-field positions are controlled by programs to imitate the MIMO array and the cylindrical scanning process. The MIMO array used in this simulation is shown in Fig. 9. It contains 23 nonuniformly distributed transmitters and 127 evenly positioned receivers. The distance between two adjacent receivers is 8 mm. The total effective array length is about 1 m. This topology is designed manually just for validating the proposed imaging algorithm. 1 Supplemental material for the reader can be downloaded online at http://ieeexplore.ieee.org/
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: EFFICIENT ALGORITHM FOR MIMO CYLINDRICAL MMW HOLOGRAPHIC 3-D IMAGING
Fig. 10.
Cylindrical aperture and the human model.
The problem of optimizing the array performance with the limited number of transmitters and receivers is not discussed in this paper. More information on this issue can be found in [21] and [32]. The geometry of the simulation is illustrated in Fig. 10. We notice that the EM calculation of a full-size human target at millimeter-level wavelength can lead to tremendous meshes and unacceptable time costs. Therefore, we have scaled the model to about 1 m high. The simulation frequency is from 14 to 20 GHz with 51 samples and an interval of 120 MHz. The cylindrical scanning radius is 0.6 m, and the number of azimuth angles is 500. According to the above configurations, the observation process produces a 51 × 127 × 23 × 500 (Nk × Nz R × Nz T × Nϕ ) data tensor. For imaging, the interested region is set to be a 0.6 × 0.6 × 1 m3 cube with 300 × 300 × 500 voxels. Different from an ideal point scatter, for the human model in Fig. 10, only a subset of the entire 360◦ aperture can form effective illumination on a given part of the body. As a result, we divide the total 360◦ observation angle into several overlapped subapertures. Each subaperture is of a 50◦ rotation angle. Imaging results from the front of the body by the MIMO-ECSAR-RMA and the BPA are shown in Fig. 11. The images are drawn in log magnitude with a 20-dB range. In Fig. 11, both algorithms achieve fully focusing of the target. This result is consistent with the quantitative conclusions in Fig. 8 and Table III. More imaging results from different views by the proposed MIMO-ECSAR-RMA are drawn in Fig. 12. The corresponding results from BPA are omitted since their visual qualities are similar to the one in Fig. 11. Moreover, the time needs varying with the size of the subapertures are recorded to test the computational complexity and the imaging speeds of different algorithms. These data are obtained from the same hardware and software platform with the previous simulation. The results are listed in Table V. From Table V, the superiority of the proposed algorithm on the time needs can be clearly seen. Moreover, different from the BPA, the time need of the MIMO-ECSAR-RMA
7
Fig. 11. Images of the human model by (a) MIMO-ECSAR-RMA and (b) BPA.
Fig. 12. Imaging results of subapertures from different views by the proposed MIMO-ECSAR-RMA.
TABLE V C OMPARISONS ON THE T IME N EEDS FOR THE S IMULATION
grows slightly with the increase of the aperture size. This further verifies that the MIMO-ECSAR-RMA is of much lower computational complexity compared with the BPA.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 16. Images of the tank model by (a) MIMO-ECSAR-RMA and (b) BPA. Fig. 13.
Diagram of the experimental setup.
Fig. 17. Imaging results of subapertures from different views by the proposed MIMO-ECSAR-RMA. TABLE VI C OMPARISONS ON THE T IME N EEDS FOR THE E XPERIMENT
Fig. 14.
Fig. 15.
Experimental scenario.
Array structure for the experiment.
C. Laboratory Results The experimental setup is illustrated in Fig. 13. The transmitting and receiving antennas are connected to port 1 and port 2 of the vector network analyzer (VNA), respectively. “S21 ” is recorded as the raw scattering data. The transmitting and receiving antennas are installed on two independent vertical tracks to equivalently form a 1-D MIMO array. The target is positioned on the turntable, and the cylindrical scanning of the MIMO array is imitated by the rotation of the target. The VNA, the scanner, and the turntable are all connected to and controlled by a PC to achieve the “MIMO-cylindrical” measurement. A 35 cm × 20 cm × 18 cm tank model1 is used as the target in the experiment. The experimental scenario is shown in Fig. 14. The array topology for the experiment is shown in Fig. 15, which includes seven nonuniformly distributed transmitters and 67 evenly positioned receivers. The distance between two receivers is 6 mm. The total length of the array is about 0.4 m. The experimental frequency
ranges from 30 to 36 GHz with 101 samples and an interval of 60 MHz. The scanning radius is around 0.51 m, and the number of azimuth angles is 1000. Accordingly, a 101 × 67 × 7 × 1000 (Nk × Nz R × Nz T × Nϕ ) data tensor is generated after a whole measurement procedure. Similar to the simulation in Section IV-B, the entire azimuth aperture is divided into several subsets for imaging. Each subaperture is of a 80◦ rotation angle. The interested imaging region is a 0.6 ×0.6 ×0.4 m3 cube discretized by 300×300 ×200 voxels. Imaging results of the subaperture from the right side of the tank by different algorithms are shown in Fig. 16. From Fig. 16, we can see that the image of the proposed MIMO-ECSAR-RMA is very similar to that of the BPA. Together with the results in Figs. 8 and 11, and Table III, we can conclude that the proposed algorithm achieves the same level imaging quality with the BPA. Images from more subapertures with different views obtained by the proposed algorithm are shown in Fig. 17. The time needs for different subaperture sizes are also recorded and listed in Table VI. Similar to Table V, Table VI also suggests that the proposed algorithm is much more efficient than the BPA. In addition, we want to claim that according to Section II and Fig. 3, the proposed algorithm can be easily parallelized. By accelerating the recently most
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: EFFICIENT ALGORITHM FOR MIMO CYLINDRICAL MMW HOLOGRAPHIC 3-D IMAGING
time-consuming loop body of the MATLAB codes using GPUs, the time needs can be expected to be much less than those in Table VI.
9
quality and efficiency. We believe the presented study that will greatly expedite the MIMO-cylindrical regime toward practical applications. ACKNOWLEDGMENT
D. Discussion In simulations and experiments, we found that NkFFT (the number of FFT points with respect to k) can affect the imaging quality of the BPA obviously. In the conventional SAR imaging, NkFFT can be set to be equal to Nk or slightly greater than Nk . This is valid when the spatial resolution is in the same order of the range resolution. However, for MMW holographic imaging, especially the cylindrical MMW holographic imaging, the spatial resolution (millimeter level) can be much higher than the range resolution (centimeter level). As a result, much finer range profile samplings (i.e., larger NkFFT ) are needed to ensure the precision of the backprojection procedure. In this paper, the BPA was implemented using NkFFT = 2log2 (20Nk )
(19)
where log2 (20Nk ) was the minimum integer that no less than log2 (20Nk ). Otherwise, obvious sidelobes will emerge in the images obtained by the BPA if NkFFT is not large enough. In addition, one may also notice that we have used different frequencies and array topologies for different simulations and experiment. Indeed, different parameter configurations can affect the imaging results. However, we do not think using different configurations play a negative role in verifying the effectiveness of the proposed algorithm since no comparisons between different configurations are made. On the contrary, using various configurations provides more evidences to show the superiority of the proposed algorithm. For all simulations and experiments, BPA imaging results were provided to compete with the proposed algorithm. As can be seen, the proposed MIMO-ECSAR-RMA achieves similar imaging quality with the BPA while costing much less time for various frequencies and array topologies. V. C ONCLUSION An accurate and efficient frequency-domain 3-D imaging algorithm is proposed for a novel MIMO-cylindrical holography system in this paper. First, detailed theoretical derivations and algorithm steps are provided. The proposed algorithm is inspired by the RMA and the circular convolution techniques. Meanwhile, FGG-based NUFFT is employed to handle and accelerate the Fourier transforms for nonuniformly sampled signals in both spatial and wavenumber domains. Second, several important issues including system resolutions, sampling criteria, and computational complexities are carefully analyzed. Specifically, we conclude that the computational complexity of the proposed algorithm is O(N 3 log2 N ) while that of the BPA is O(N 5 ). Finally, a series of simulations and experiments are carried out. Quantitative comparisons on the performances of different algorithms suggest that our algorithm is of similar imaging quality with the BPA. Both the full-wave EM calculations and the laboratory experiments verify the effectiveness of the proposed algorithm on imaging
The authors would like to thank the anonymous reviewers for their valuable comments and suggestions to improve this paper’s quality. R EFERENCES [1] N. H. Farhat and W. R. Guard, “Millimeter wave holographic imaging of concealed weapons,” Proc. IEEE, vol. 59, no. 9, pp. 1383–1384, Sep. 1971. [2] X. Zhuge and A. G. Yarovoy, “A sparse aperture MIMO-SAR-based UWB imaging system for concealed weapon detection,” IEEE Trans. Geosci. Remote Sens., vol. 49, no. 1, pp. 509–518, Jan. 2011. [3] D. M. Sheen et al., “Wide-bandwidth, wide-beamwidth, high-resolution, millimeter-wave imaging for concealed weapon detection,” Proc. SPIE, vol. 8715, p. 871509, May 2013. [4] X. Zhuge, A. G. Yarovoy, T. Savelyev, and L. Ligthart, “Modified Kirchhoff migration for UWB MIMO array-based radar imaging,” IEEE Trans. Geosci. Remote Sens., vol. 48, no. 6, pp. 2692–2703, Jun. 2010. [5] B. Baccouche et al., “Three-dimensional terahertz imaging with sparse multistatic line arrays,” IEEE J. Sel. Topics Quantum Electron., vol. 23, no. 4, Jul./Aug. 2017, Art. no. 8501411. [6] H. Liu, Y. X. Zhang, Z. J. Long, F. Han, and Q. H. Liu, “Threedimensional reverse-time migration applied to a MIMO GPR system for subsurface imaging,” in Proc. Int. Conf. Ground Penetrating Radar, Jun. 2016, pp. 1–4. [7] D. M. Sheen, D. L. McMakin, and T. E. Hall, “Three-dimensional millimeter-wave imaging for concealed weapon detection,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 9, pp. 1581–1592, Sep. 2001. [8] X. Zhuge and A. G. Yarovoy, “Three-dimensional near-field MIMO array imaging using range migration techniques,” IEEE Trans. Image Process., vol. 21, no. 6, pp. 3026–3033, Jun. 2012. [9] S. S. Ahmed, A. Schiessl, F. Gumbmann, M. Tiebout, S. Methfessel, and L. Schmidt, “Advanced microwave imaging,” IEEE Microw. Mag., vol. 13, no. 6, pp. 26–43, Sep. 2012. [10] L. Qiao, Y. Wang, Z. Shen, Z. Zhao, and Z. Chen, “Compressive sensing for direct millimeter-wave holographic imaging,” Appl. Opt., vol. 54, no. 11, pp. 3280–3289, 2015. [11] D. M. Sheen, D. L. Mcmakin, and T. E. Hall, “Near-field threedimensional radar imaging techniques and applications,” Appl. Opt., vol. 49, no. 19, pp. E83–E93, 2010. [12] E. Gimeno-Nieves, J. M. Lopez-Sanchez, and C. Pascual-Villalobos, “Extension of the chirp scaling algorithm to 3-D near-field wideband radar imaging,” IEE Proc.—Radar, Sonar Navigat., vol. 150, no. 3, pp. 152–157, Jun. 2003. [13] Y. Zhang, B. Deng, Q. Yang, J. Gao, Y. Qin, and H. Wang, “Near-field three-dimensional planar millimeter-wave holographic imaging by using frequency scaling algorithm,” Sensors, vol. 17, no. 11, p. E2438, 2017. [14] J. M. Lopez-Sanchez and J. Fortuny-Guasch, “3-D radar imaging using range migration techniques,” IEEE Trans. Antennas Propag., vol. 48, no. 5, pp. 728–737, May 2000. [15] M. L. Bryant, L. L. Gostin, and M. Soumekh, “3-D E-CSAR imaging of a T-72 tank and synthesis of its SAR reconstructions,” IEEE Trans. Aerosp. Electron. Syst., vol. 39, no. 1, pp. 211–227, Jan. 2003. [16] F. Gumbmann and L. Schmidt, “Millimeter-wave imaging with optimized sparse periodic array for short-range applications,” IEEE Trans. Geosci. Remote Sens., vol. 49, no. 10, pp. 3629–3638, Oct. 2011. [17] S. S. Ahmed, A. Schiessl, and L.-P. Schmidt, “A novel fully electronic active real-time imager based on a planar multistatic sparse array,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3567–3576, Dec. 2011. [18] W. F. Moulder et al., “Development of a high-throughput microwave imaging system for concealed weapons detection,” in Proc. IEEE Int. Symp. Phased Array Syst. Technol., Oct. 2017, pp. 1–6. [19] K. Tan, S. Wu, Y. Wang, S. Ye, J. Chen, and G. Fang, “A novel two-dimensional sparse MIMO array topology for UWB short-range imaging,” IEEE Antennas Wireless Propag. Lett., vol. 15, pp. 702–705, 2016.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[20] X. Zhuge and A. G. Yarovoy, “Study on two-dimensional sparse MIMO UWB arrays for high resolution near-field imaging,” IEEE Trans. Antennas Propag., vol. 60, no. 9, pp. 4173–4182, Sep. 2012. [21] K. Tan et al., “On sparse MIMO planar array topology optimization for UWB near-field high-resolution imaging,” IEEE Trans. Antennas Propag., vol. 65, no. 2, pp. 989–994, Feb. 2017. [22] J. Gao, Y. Qin, B. Deng, H. Wang, and X. Li, “Novel efficient 3D shortrange imaging algorithms for a scanning 1D-MIMO Array,” IEEE Trans. Image Process., vol. 27, no. 7, pp. 3631–3643, Jul. 2018. [23] Z. Li, J. Wang, J. Wu, and Q. H. Liu, “A fast radial scanned near-field 3-D SAR imaging system and the reconstruction method,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 3, pp. 1355–1363, Mar. 2015. [24] Y. Jiang, B. Deng, Y. Qin, H. Wang, and K. Liu, “A fast terahertz imaging method using sparse rotating array,” Sensors, vol. 17, no. 10, p. E2209, 2017. [25] J. Gao, Y. Qin, B. Deng, H. Wang, and X. Li, “A novel method for 3-D millimeter-wave holographic reconstruction based on frequency interferometry techniques,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 3, pp. 1579–1596, Mar. 2018. [26] M. Soumekh, “Reconnaissance with slant plane circular SAR imaging,” IEEE Trans. Image Process., vol. 5, no. 8, pp. 1252–1265, 1996. [27] J. Gao, B. Deng, Y. Qin, H. Wang, and X. Li, “Efficient terahertz wide-angle NUFFT-based inverse synthetic aperture imaging considering spherical wavefront,” Sensors, vol. 16, no. 12, p. 2120, 2016. [28] T. Vaupel and T. F. Eibert, “Comparison and application of near-field ISAR imaging techniques for far-field radar cross section determination,” IEEE Trans. Antennas Propag., vol. 54, no. 1, pp. 144–151, Jan. 2006. [29] L. Greengard and J.-Y. Lee, “Accelerating the nonuniform fast Fourier transform,” SIAM Rev., vol. 46, no. 3, pp. 443–454, 2004. [30] J. K. Gao, Y. L. Qin, B. Deng, H. Q. Wang, J. Li, and X. Li, “Terahertz wide-angle imaging and analysis on plane-wave criteria based on inverse synthetic aperture techniques,” J. Infr., Millim., THz Waves, vol. 37, no. 4, pp. 373–393, 2016. [31] A. Ishimaru, T.-K. Chan, and Y. Kuga, “An imaging technique using confocal circular synthetic aperture radar,” IEEE Trans. Geosci. Remote Sens., vol. 36, no. 5, pp. 1524–1530, Sep. 1998. [32] D. Liuge, H. Dahai, C. Qinggong, and W. Yahai, “Study on RM and BP algorithms used for imaging with different MIMO arrays,” in Proc. IEEE Int. Conf. Electron. Meas. Instrum., Jul. 2015, pp. 1118–1121.
Bin Deng received the B.S. degree from Northeastern University, Shenyang, China, in 2004, and the M.S. and Ph.D. degrees from the National University of Defense Technology, Changsha, China, in 2006 and 2011, respectively. His current research interests include synthetic aperture radar (SAR), SAR/ground moving target indication, and terahertz radar.
Jingkun Gao (M’16) received the B.S. degree (Hons.) in information engineering from the National University of Defense Technology, Changsha, China, in 2013, where he is currently pursuing the Ph.D. degree in information and communication engineering. His current research interests include new regime radar imaging, signal processing, and terahertz radar.
Xiang Li received the B.S. degree from Xidian University, Xi’an, China, in 1989, and the M.S. and Ph.D. degrees from the National University of Defense Technology (NUDT), Changsha, China, in 1995 and 1998, respectively. He was with Imperial College London, London, U.K., as an Academic Visitor, in 2011. He is currently a Professor with NUDT. His current research interests include auto target recognition, target detection, and nonlinear signal processing.
Yuliang Qin received the B.S., M.S., and Ph.D. degrees from the National University of Defense Technology, Changsha, China, in 2002, 2004, and 2009, respectively. His current research interests include inverse synthetic aperture radar, radar signal processing, and terahertz radar.
Hongqiang Wang received the M.S. and Ph.D. degrees from the National University of Defense Technology (NUDT), Changsha, China, in 1999 and 2002, respectively. He is currently a Professor with NUDT. His current research interests include terahertz radar, radar signal processing, and automation target recognition.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A Portable K -Band 3-D MIMO Radar With Nonuniformly Spaced Array for Short-Range Localization Zhengyu Peng , Student Member, IEEE, and Changzhi Li , Senior Member, IEEE
Abstract— This paper presents a K -band multiple-inputmultiple-output (MIMO) radar for short-range localization. This radar features 3-D imaging capability, which can obtain the range, azimuth angle, and zenith angle of a target. The proposed radar system implements the concept of MIMO to synthesize a planar array that realizes 2-D digital beamforming with a small number of transmitter and receiver (T/R) channels. A nonuniformly spaced array configuration is also designed to further reduce the number of T/R channels without sacrificing the beamwidth and sidelobe level. The design principle is detailed in this paper. A prototype with eight transmitter channels and eight receiver channels has been built. A calibration procedure was developed to remove path delays of different MIMO channels. Experiments of the proposed K-band MIMO radar prototype reveal its capability in the 3-D localization of multiple targets. This prototype features 7.2° 2-D angular resolution, 90° field of view, −10-dB sidelobe level, and wireless data transfer. Index Terms— Frequency-modulated continuous-wave (FMCW) radar, K -band, multiple-input-multiple-output (MIMO) radar, short-range localization, time-division multiplexing (TDM).
I. I NTRODUCTION
I
N RECENT years, applications of radar systems have been extended to many commercial areas, such as throughthe-wall detections [1], [2], indoor localization [3], driver assistance [4], [5], and biomedical applications [6]–[8]. Phased array and digital beamforming techniques have enabled a radar system with electrical beam-scanning capability, which features lightweight, low profile, and high steering speed compared to mechanical steering systems [9], [10]. A conventional way to realize a phased array is using phase shifters to control the phase of each antenna element in an array [9]. This approach can be extended to an RF beamforming architecture. In an RF beamforming system, the dedicated phase shifter for each antenna element dramatically increases the hardware complexity and cost, especially when the frequency goes up to the millimeter-wave region for Manuscript received June 19, 2018; revised August 20, 2018; accepted August 29, 2018. This work was supported by the NSF under Grant ECCS1254838, Grant ECCS-1808613, and Grant CNS-1718483. (Corresponding author: Zhengyu Peng.) Z. Peng is with Aptiv PLC, Kokomo, IN 46902 USA (e-mail:
[email protected]). C. Li is with the Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, TX 79409 USA (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2869565
5G and automotive radar applications. In addition, conventional phase shifters also suffer from phase errors and limitations on resolution and bandwidth [11], [12]. Researchers have introduced special typologies, such as true time-delay beam steering [13]–[15] and all-pass networks [16], [17], for ultrawideband beamforming applications. Continuous beam steering architecture and calibration method have also been proposed to partially address the resolution and phase-error issues [10], [18]. Another beamforming approach is based on the control of the phase and amplitude corresponding to each antenna element in the digital domain, which is also known as the digital beamforming architecture [9], [19]. Although a digital beamforming system has high phase and amplitude control accuracies, it usually requires a dedicated high-speed analogto-digital converter (ADC) or a digital-to-analog converter for each antenna channel. This significantly increases the cost and power consumption of a digital beamforming system [20]. Radar systems with beam-scanning capability have been developed with techniques such as RF beamforming [10] and digital beamforming [21]. A typical beam-scanning radar system obtains the range information and azimuth angle of a target. For applications such as advanced autonomous driving, drone collision avoidance, and gesture recognition, a radar system with 2-D beam scanning provides one more dimension of information, which improves the reliability of the radar system. Conventional solutions for 2-D beam scanning include planar phased array, frequency-scanning array radar [22], [23], synthetic aperture radar (SAR) imaging [24], and multiple-input-multiple-output (MIMO) radar [25]–[27]. A planar phased array may require hundreds of antenna elements to achieve a decent 2-D angular resolution within 10°. Moreover, for the planar phased array, the requirement of a dedicated phase shifter for each antenna element makes the system complex and expensive. A frequency-scanning array radar utilizes a frequency-scanning array to provide the beam scanning capability in the third dimension. However, it has relatively poor spectrum efficiency since the frequency-scanning array requires different frequencies to steer the antenna beam. The SAR imaging approach combines a linear array and SAR imaging processing to realize 2-D scan. However, a mechanical platform or a vehicle is needed to carry the linear array. In addition, SAR imaging-based solution typically illuminates sideways. A MIMO radar system utilizes multiple transmitter and receiver channels to synthesize a larger virtual array to improve the spatial resolution. With a
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 2.
Fig. 1.
Block diagram of the proposed portable K -band 3-D MIMO radar.
MIMO radar, it is possible to dramatically reduce the number of antenna channels while maintaining a decent 2-D angular resolution compared with the conventional 2-D beam-scanning architecture. In this paper, a K -band MIMO frequency-modulated continuous-wave (FMCW) radar is proposed and designed. By combining the concept of MIMO and nonuniformly spaced array, high angular resolution and 2-D beam scanning can be achieved with a relatively small number of transmitter and receiver channels. A specifically designed nonuniformly spaced sparse array is used to improve the angular resolution of the radar system while removing the grating lobes in the conventional sparse array. This nonuniformly spaced array with the optimized weighting values also reduces the number of antenna channels for a specific main beamwidth and sidelobe level compared with a conventional half-wavelength spaced array. A MIMO radar prototype with eight transmitter and eight receiver channels is designed and built. With the optimized array distribution, the array and the radar transceiver are integrated on the same PCB layer. This radar prototype features a 7.2° 2-D angular resolution, a 90° field of view, a −10-dB sidelobe level, and a wireless data interface. In order to align the phases and amplitudes of each MIMO channel, a calibration procedure is also introduced. Experiments of the MIMO FMCW radar prototype reveal its capability in 3-D localization with multiple targets. The rest of this paper is organized as follows. Section II illustrates the design principle of the proposed MIMO radar system. In Section III, the measurement results of the test structures are presented. Section IV introduces the calibration procedure of the proposed MIMO radar system. Section V demonstrates the 3-D localization experiment with the proposed MIMO radar prototype. Finally, a conclusion is drawn and future works are discussed in Section VI. II. D ESIGN P RINCIPLE The top-level block diagram of the proposed portable K -band 3-D MIMO radar is illustrated in Fig. 1. This radar
Four linear array distributions.
includes an RF board and a baseband module. On the RF board, a K -band phase-locked loop (PLL) is used to generate two transmitting (Tx) FMCW signal channels and one FMCW local oscillator (LO) signal channel. The two-channel Tx signals are further extended into eight channels by using customized K -band RF switches. These eight-channel signals are transmitted using an eight-element vertically distributed nonuniformly spaced linear array. On the receiver side, an eight-element horizontally distributed nonuniformly spaced linear array is used to receive the reflected FMCW signal. Two radar receiver chips are used to deramp the FMCW signal. Each of the receiver chip has four channels. After the receiver chips, eight-channel baseband signals are generated. On the baseband part, the eight-channel baseband signals are first processed by eight channels of baseband amplifiers. Then, an eight-channel analog switch is used to select one of these eight baseband channels. The selected channel is processed by a filter and sampled by the ADC on the Wi-Fi board. The sampled baseband data are transmitted to a computer through a Wi-Fi link for further processing. The design principles for different blocks of the proposed MIMO radar system will be discussed in detail in this section. A. Nonuniformly Spaced Sparse Array It is known that a sparse array can achieve a higher angular resolution with a smaller number of antenna elements. However, it suffers from the issue of grating lobes [9]. The grating lobes of the sparse array can be removed by introducing randomness in the distribution of the sparse array elements [28]–[32]. A simple comparison is illustrated in Fig. 2, where four linear arrays are shown. The first array is an eight-element linear array with λ/2 spacing between elements, where λ is the free-space wavelength. A Chebyshev window with 9.5-dB sidelobe level is applied to this array. The second linear array shown in Fig. 2 is an eight-element linear array with λ spacing between elements. The third array is a nonuniformly spaced linear array, and the last array is a 12-element λ/2-spaced linear array. The aperture sizes of the second and the third arrays are the same. The radiation patterns of these four linear arrays with their main lobes steered to −40° are illustrated in Fig. 3. The sidelobe levels of the patterns, except for the second array, are designed to be equal for a fair
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PENG AND LI: PORTABLE K -BAND 3-D MIMO RADAR
Fig. 3. Comparison among the patterns of the four linear array distributions when the main lobes are steered to −40°. TABLE I A RRAY W EIGHTING VALUES FOR F IG . 3
comparison. The weighting values of the antenna elements to obtain the patterns shown in Fig. 3 are listed in Table I. It can be clearly observed that the first array has the broadest beamwidth when the sidelobe levels of these three arrays are all −9.5 dB. The eight-element nonuniformly spaced array can achieve similar beamwidth as the 12-element λ/2-spaced array while maintaining the advantage of a 33.3% reduction in the
3
Fig. 4.
Constraints and goal in the stochastic search method.
number of antenna elements. With the same aperture size, the eight-element λ-spaced array provides similar beamwidth and sidelobe level as the nonuniformly spaced array. However, the λ-spaced array has significant grating lobe issue that can be avoided by the nonuniform array. For a conventional half-wavelength spaced array, there is a tradeoff between the main beamwidth and the sidelobe level, i.e., reducing the main beamwidth will increase the sidelobe level, and vice versa. With a sparse array, the tradeoff is not only between the main beamwidth and the sidelobe level but also with the aperture size and the element number. Typically, if the number of antenna channels is fixed, a larger aperture size leads to a narrower main beamwidth and a higher sidelobe level. On the other hand, if the aperture size and the main beamwidth are fixed, adding more antenna elements will help lower the sidelobe level. For a radar system, it is true that a radar with fewer antenna channels has a lower cost and lower power consumption. On the other hand, increasing the antenna aperture without adding more antenna channels does not significantly increase the cost. Based on this assumption, it is worthwhile to trade the aperture size for a reduced number of antenna channels and/or a reduced main beamwidth, especially for systems operating in K -band and above, where the antenna size is already small. In this paper, the design goal is to reduce both the number of antenna channels and main beamwidth. The analytical method to obtain the optimized nonuniform distribution has yet to be developed. Researchers have been using different algorithms [28], [30]–[32] to optimize nonuniform distribution. In this paper, a stochastic search method is used to find a good nonuniform distribution for the eight-element linear array. In this method, a MATLAB program is designed to evaluate 100 000 random nonuniform distributions. The convex optimization program CVX [33] is used in the evaluations. The goal of the stochastic search method is set to find the distribution and weighting values of the array to obtain the minimal sidelobe level with the constrained main lobe location and width, as shown in Fig. 4, where θSL is the angular range of sidelobes considered. θm is the direction of the main lobe, and θ B is the width constraint of the main lobe. The CVX optimization for each evaluation
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II D ISTRIBUTION OF THE N ONUNIFORMLY S PACED A RRAY
can be expressed as wopt = arg min w
max |s(θ ) × w|
θ∈θSL
s.t. s(θm ) × wopt = 1
(1) (2)
where s(θ ) = [e j kx1 sinθ , e j kx2 sinθ , . . . , e j kx8 sinθ ]
(3)
]T
where wopt = [w1 , w2 , . . . , w8 is the optimized complex weighting value array to achieve the minimal sidelobe level with a nonuniform distribution x = [x 1 , x 2 , . . . , x 8 ], as shown in Fig. 2. k is the wavenumber, T denotes the transpose operation. Equation (2) constrains the location of the main lobe to θm . After 100 000 times stochastic searches, the best distribution in the 100 000 random combinations is found, which is listed in Table II. It should also be noted that this results in a good distribution but not necessarily the best one.
Fig. 5. Geometries of the transmitter array, receiver array, and the corresponding virtual array in the proposed MIMO radar system.
B. MIMO Radar In a MIMO radar system, the virtual array concept can be applied to significantly reduce the hardware effort under the far-field conditions. It is well known that in the far-field, the radiation pattern of an array is the Fourier transform of the array distribution. Since the equivalent radiation pattern of a MIMO radar is the multiplication of the transmitter pattern and the receiver pattern, this equivalent radiation pattern can also be calculated as the Fourier transform of the convolution of the transmitter array and the receiver array. This convolution is also referred to as the virtual array [34]. Existing works in the literature [28]–[32] mainly focused on the algorithms to obtain better array distributions. They did not have a system-level design to realize a practical application. In addition, these works did not take the hardware layout for other microwave components into consideration. In this paper, the geometries of the transmitter array, the receiver array, and their corresponding virtual array of the proposed MIMO radar system are illustrated in Fig. 5. The eight-element receiver array is horizontally distributed with the geometries listed in Table II. The eight-element transmitter array is vertically distributed with the same geometries listed in Table II. With this design, the center area between the transmitter array and the receiver array is used for the integration of the radar transceiver. The equivalent virtual array is an 8 × 8 nonuniformly spaced planar array, as shown in Fig. 5. For convenience, each element in the virtual array is numbered as (m, n), where m denotes the row of the element and n represents the column of the element, as shown in Fig. 5.
Fig. 6.
Tx and Rx timing of the proposed MIMO radar system.
The receiver side of a MIMO radar needs to separate signals from different transmitters. A potential solution is using the orthogonality of orthogonal transmitter waveforms [35], [36] to identify signals from different transmitters. However, this solution requires a complex hardware design. Time-division multiplexing (TDM) is a solution that trades scanning time for simplicity. With TDM, only one transmitter works at a time, and the receivers can separate signals from different transmitters based on different time periods. Due to its simple hardware realization, TDM is used in the proposed MIMO radar. The transmitter and receiver timing of the proposed MIMO radar is illustrated in Fig. 6. Since a single-channel ADC is used in the proposed MIMO radar, only one transmitter and one receiver work at a time. 64-channel baseband data will be generated in a full scan with this radar. These 64-channel baseband data are one-to-one correspondence to the 64-virtual array elements. For example, the baseband data from Tx 4 and Rx 5 are equivalent to the baseband data from the virtual array element (4, 5). The beamforming of the proposed MIMO radar is performed in the digital baseband. Let s(m,n) (t) be the timedomain signal from Tx m and Rx n, where m and n are integers from 1 to 8. s(m,n) (t) is also equivalent to the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PENG AND LI: PORTABLE K -BAND 3-D MIMO RADAR
5
Fig. 8.
Layout of the circular patch antenna.
Fig. 9.
Layout of the customized K -band SPDT switch.
Fig. 7. Normalized 2-D radiation pattern when the beam is steered to θ = −20°, ϕ = 0°.
time-domain signal received by virtual array element (m, n). The time-domain signal s(m,n) (t) can be converted to the frequency domain “range spectrum” S(m,n) ( f b ) by Fourier transform, where the beat frequency f b can be obtained. The range profile detected by each virtual array element S(m,n) (R) can be obtained with T fb c (4) R= 2B where R is the range of the target, T is the chirp repetition period of the FMCW radar, c is the speed of light, and B is the bandwidth of the chirp. With the 64-channel range profile data, the range profile with a specific zenith angle (θ ) and azimuth angle (ϕ) can be calculated with the digital beamforming method P(θ, ϕ, R) = wT (θ ) × S(R) × w(ϕ) where
⎡
S(1,1) (R) ⎢ S(2,1) (R) ⎢ S(R) = ⎢ .. ⎣ . S(8,1) (R)
S(1,2) (R) S(2,2) (R) .. . S(8,2) (R)
... ... .. . ...
⎤ S(1,8)(R) S(2,8) (R) ⎥ ⎥ ⎥ .. ⎦ .
patch antenna is illustrated in Fig. 8. The diameter of the patch is about 4 mm. In order to align the polarization for the transmitter and the receiver elements, the circular patch antenna is tilted by 45°.
(5) D. K-Band SPDT Switch
(6)
S(8,8)(R)
w(θ ) and w(ϕ) are two lookup tables of the weighting vectors generated with the convex optimization method mentioned in Section II-A. To be more specific, w(θ ) is the lookup table for the eight-element transmitter array when the main lobe is steered to the zenith angle θ ; w(ϕ) is the lookup table for the eight-element receiver array when the main lobe is steered to the azimuth angle ϕ. An example of the 2-D beamforming pattern with the proposed MIMO radar is illustrated in Fig. 7, where the main lobe is steered to θ = −20° and ϕ = 0°. This 2-D pattern is simulated with the CST Microwave Studio. The array elements are circular microstrip patches. The beamwidth of the main lobe is 7.2° and the sidelobe is about −9.5 dB. C. Patch Antenna Circular patch antennas are used as the radiation element in the proposed MIMO radar. The layout of the circular
To extend the number of transmitter channels, a K -band single-pole-double-throw (SPDT) switch is designed based on a microwave structure and p-i-n diodes. The layout of the K -band SPDT switch is shown in Fig. 9. There are three ports on this K -band SPDT switch. Port 1 is the input port. Ports 2 and 3 are the output ports. Two p-i-n diodes are placed on each path connected to port 2 or port 3 to improve the isolation in the OFF state. The distance from the p-i-n diodes to the junction is about half-wavelength. If the p-i-n diodes are forward biased, the corresponding path is turned ON . Otherwise, the path is turned OFF . The schematic of the bias circuit used to control the K -band SPDT switch is shown in Fig. 10. This bias circuit has a pMOS transistor, an nMOS transistor, and several resistors. The basic function of the bias circuit is to convert the common digital binary voltages 0 and 3.3 V to the special binary biases for the p-i-n diodes. The values of the resistors are optimized to reverse bias the p-i-n diode with −10 V to turn OFF the RF path when the input of the bias circuit is 0 V. On the other hand, the optimized resistor values also make sure the p-i-n diode is forward biased with 30-mA current to turn ON the RF path with the input of 3.3 V.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12.
Fig. 10.
Photograph of the fabricated circular patch antenna.
Bias circuit for the K -band SPDT switch.
Fig. 13. Simulated radiation patterns of the circular patch antenna. (a) E-plane. (b) H -plane.
Fig. 11.
Layout of the K -band SIW power divider.
E. K-Band SIW Power Divider A K -band power divider is designed to distribute the LO signal to two receiver chips. This power divider is built with the coplanar waveguide (CPW) and substrate integrated waveguide (SIW). The layout of the K -band power divider is illustrated in Fig. 11. A CPW to SIW transition structure is used to convert the CPW to SIW. This K -band SIW power divider features simple structure and is easy to fabricate in the standard printed circuit board fabrication process.
Fig. 14.
Simulated and measured S11 of the circular patch antenna.
III. T EST S TRUCTURES
Fig. 15.
Photograph of the fabricated K -band SPDT switch.
Separate discrete structures used in the proposed MIMO radar have been fabricated for characterization purpose. Rogers RO3003 with 0.245 mm thickness is chosen as the substrate of these structures. The dielectric constant is 3 and its loss tangent is 0.001.
shifted to 24.5 GHz. Since the measured S11 at 24.125 GHz is around −9 dB, this antenna can still work at 24.125 GHz with a slight degradation of the performance. B. K-Band SPDT Switch
A. Patch Antenna A photograph of the fabricated circular patch antenna is shown in Fig. 12. Fig. 13 illustrates the simulated radiation patterns of the designed circular patch antenna, where Fig. 13(a) is the E-plane pattern and Fig. 13(b) is the H -plane pattern. The beamwidths on both the E- and the H -planes are about 90°. Fig. 14 shows the simulated and measured S11 of the designed circular patch antenna. The center frequency in the simulation is 24.125 GHz. However, due to fabrication errors, the measured S11 of the fabricated circular patch antenna
The photograph of the test structure for the K -band SPDT switch is illustrated in Fig. 15. The measured S21 at 24.125 GHz in the ON state is around −1.2 dB, which is about 0.2 dB lower than the simulated result after deembedding the loss introduced by the SMA connectors, as shown in Fig. 16. When the path is turned OFF, the simulated S21 is about −24 dB and the measured S21 is about −18 dB. The isolation between ports 2 and 3 is better than 26 dB. The simulated and measured S11 and S22 of the fabricated K -band SPDT switch are shown in Fig. 17, both the simulated and measured results
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PENG AND LI: PORTABLE K -BAND 3-D MIMO RADAR
7
Fig. 16. Simulated and measured S21 of the K -band SPDT switch in the ON and OFF states.
Fig. 20. Photograph of the RF board of the K -band 3-D MIMO radar prototype.
Fig. 17. Simulated and measured S11 and S22 of the fabricated K -band SPDT switch.
based on a relatively ideal model shows −3-dB insertion losses in S21 and S31 . The measured insertion losses from port 1 to ports 2 and 3 are −3.2 and −4.2 dB at 24.125 GHz after deembedding the loss of the SMA connectors, respectively. S11 of port 1 is below −13 dB. IV. R ADAR P ROTOTYPE AND C ALIBRATION
Fig. 18.
Photograph of the fabricated K -band SIW power divider.
Fig. 19. Simulated and measured S-parameters of the fabricated K -band SIW power divider.
are below −20 dB at 24.125 GHz. The simulated performance is better due to the use of a relatively ideal p-i-n diode model. C. K-Band SIW Power Divider The photograph of the fabricated K -band SIW power divider is shown in Fig. 18. Fig. 19 depicts the simulated and measured S-parameters of the power divider. The simulation
A K -band 3-D MIMO radar prototype has been built. This radar prototype consists of an RF board, a baseband board, and a Wi-Fi board. The photograph of the RF board is shown in Fig. 20. Both the width and height of the RF board are 120 mm. The substrate of the RF board is Rogers RO3003 with 0.254 mm thickness. The locations of the major components are also shown in Fig. 20. Fig. 21(a) is the photograph of the baseband board, which includes a power supply, a −10-V dc–dc converter, an eight-channel baseband amplifier, and bias circuits for the SPDT switches. On the backside of the baseband board, a customized Wi-Fi board is stacked, as shown in Fig. 21(b). The substrate of the baseband board and the Wi-Fi board is FR4. The photograph of the fully assembled radar prototype is shown in Fig. 22. The RF board and the baseband board are connected by flat cables and ribbon wires. The flat cables are used for power supply, digital control, and baseband signal routing. The ribbon wires are used for biasing the SPDT switches. The major components of the K -band 3-D MIMO radar prototype are listed in Table III. The 24-GHz radar transceiver chipset from analog devices, including ADF4159, ADF5901, and ADF5904, are used in the prototype. The p-i-n diodes used in the K -band SPDT switches are MADP-000907-14020W from MACOM. On the Wi-Fi board, Texas Instruments Incorporated’s CC3200 Wi-Fi chip, which combines an ARM Cortex-M4 and a Wi-Fi subsystem, is used to sample the baseband signal and send the data to a computer through Wi-Fi. CC3200 is also used as the controller to configure the transceiver chipset.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE III M AIN C OMPONENTS U SED IN THE MIMO R ADAR P ROTOTYPE
Fig. 21. Photograph of the baseband part of the K -band 3-D MIMO radar prototype. (a) Baseband board. (b) Wi-Fi board. TABLE IV BASIC PARAMETERS OF THE MIMO R ADAR P ROTOTYPE
Fig. 22.
Photograph of the fully assembled radar prototype.
The electrical parameters of the radar prototype are listed in Table IV. The transmitting power of each transmitter is about 0 dBm with a center frequency of 24.125 GHz. The bandwidth of the chirp signal is 240 MHz, and the chirp repetition period is 10 ms. By combing the four ADC channels in CC3200, the maximum sampling rate can reach 250 ksps. This radar is powered by 5-V dc voltage, and its average power consumption is about 2.5 W. A program was also built to communicate with the radar prototype. This program was programmed with QT, a C++ cross-platform SDK. When the radar prototype is powered ON,
the Wi-Fi board on the radar prototype will create a Wi-Fi access point, which can be connected by a computer or a portable device through a Wi-Fi adaptor. After connected, the designed program can be used to send commands to the radar prototype through the transmission control protocol (TCP) sockets. The sampled baseband data are recorded by the program using the user datagram protocol (UDP) sockets. The TCP sockets guarantee a reliable radar system control, and the UDP sockets are used to achieve the maximum data transmission rate. MATLAB is used for the postprocessing of the recorded baseband data. Calibration is one of the most important parts of the proposed K -band 3-D MIMO radar. Since the signal paths of different transmitter and receiver channels have different lengths and losses/gains in the built MIMO radar prototype, a calibration is required to compensate for these variations.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PENG AND LI: PORTABLE K -BAND 3-D MIMO RADAR
Fig. 23.
9
Photograph of the calibration setup.
Fig. 25. Calibrated range profiles of the 64-channel beat signals. (a) Amplitudes in Calibration A. (b) Phases in Calibration A. (c) Amplitudes in Calibration B. (d) Phased in Calibration B.
Fig. 24. Uncalibrated range profiles of the 64-channel beat signals. (a) Amplitudes in Calibration A. (b) Phases in Calibration A. (c) Amplitudes in Calibration B. (d) Phases in Calibration B. Fig. 26. Measured horizontal pattern in the field of view when the beam is steered to 0°.
The calibration was performed in an open field with a corner reflector placed in front of the MIMO radar prototype, as shown in Fig. 23. The radar prototype was put on the back of a car, and it was powered by the cigarette lighter of the car with a 12-to-5-V converter. The centers of the corner reflector and the radar prototype were aligned. The basic idea of the calibration is based on the concept that the signals received by all the antennas have the same phase and amplitude for an ideal radar system in far-field condition when a single target is in front of the radar with their centers aligned. Thus, with the calibration setup in Fig. 23, the amplitude and phase differences among antennas are introduced by the RF path delays and component variations. By correcting these phase and amplitude differences in the digital signal processing stage, the radar prototype is calibrated. Two calibrations, Calibration A and Calibration B, have been performed. During Calibration A, the distance between the radar prototype and the corner reflector was 3.2 m. In Calibration B, the distance was 2.6 m. Fig. 24 shows the uncalibrated range profiles of the 64-channel beat signals. Fig. 24(a) and (b) is the amplitudes and phases of
Calibration A. Fig. 24(c) and (d) is the amplitudes and phases of Calibration B. Using the first channel in each calibration as the reference, the calibration procedure normalizes the amplitudes and aligns the ranges and phases of each range profile to the references at the location of the corner reflector. The calibrated amplitudes and phases are plotted in Fig. 25. Fig. 25(a) and (b) is the calibrated amplitudes and phases of Calibration A. Fig. 25(c) and (d) is the calibrated amplitudes and phases of Calibration B. It can be observed that Calibration B has a better signal-to-noise ratio (SNR) at the target location than that of Calibration A. The offsets of ranges, amplitudes, and phases are recorded to form calibration matrices. The matrices will be applied to the signal processing of the measurement data. The comparison of the main beamwidth and the sidelobe level between the simulation and the measurement after the calibration is illustrated in Fig. 26. The measured main beamwidth matches well with the simulated result. The measured sidelobe level is 1 dB higher than the simulated sidelobe level, which is acceptable.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE V C OMPARISON A MONG THE E XISTING S OLUTIONS AND THE P ROPOSED W ORK IN T HIS PAPER
Fig. 27.
Photograph of the experimental setup.
Fig. 28. 2-D localization with Tx 1 and Rx 1-8. (a) With Calibration A. (b) With Calibration B.
V. E XPERIMENT AND D ISCUSSION An experiment of 3-D localization has been performed with the built K -band 3-D MIMO radar prototype. The experimental setup is shown in Fig. 27. In this experiment, the radar prototype was put on the back of a car, and three corner reflectors, which have different heights, were placed in front of the radar prototype at different locations. 64-channel beat signals can be obtained in one full scan with the radar prototype. The time for a full scan is 0.64 s. Using the data transmitted by Tx 1 and received by Rx 1-8, which are the signals of virtual elements (1, 1), (1, 2), . . . , (1, 8), a 2-D localization map of the targets on the horizontal plane can be obtained. Fig. 28 plots the 2-D localization maps of the targets on the horizontal plane with the two calibration
matrices obtained in Section IV. Fig. 28(a) is the result of applying the calibration matrices obtained in Calibration A, and Fig. 28(b) is the same measurement with the calibration matrices obtained in Calibration B. In Fig. 28(a), the signature of target 2 is very weak, and a ghost signature can be observed. On the other hand, in Fig. 28(b), the signatures of the three targets are clear, and no ghost signature is observed. The results in Fig. 28 reveal that the calibration matrices obtained in Calibration B provide a better imaging result since it has the better SNR than that of Calibration A. 3-D localization can be obtained with all the 64-channel data measured by the MIMO radar prototype. Fig. 29 shows the images of the 3-D localization of three corner reflectors based on the calibration matrices obtained in Calibration B. It can be clearly seen that the three corner reflectors are located at 1.6, 2.3, and 3.14 m with different azimuth and zenith angles. The estimated range accuracy of the system is about 5 cm and the angular accuracy is about 3° using the measurement results from a tape meter as the reference. It is worth noting that the implemented TDM scheme still has a room to improve, such as using multichannel ADCs, increasing the chirp repetition frequency, and reducing the chirp length. However, these improvements are mainly engineering optimization for the baseband parts. The concept and architecture of the array and radar transceiver will not be changed. The proposed radar front end with a powerful baseband could be a good solution for applications of indoor human tracking, security surveillance, robot/drone navigation, and autonomous vehicles. A comparison among the phased array radar, conventional MIMO radar, and the proposed radar in this paper is listed in Table V. This comparison is based on the realization of a radar system with 2-D beam-scanning capability. The field of view of the design is 90° and the 2-D angular resolution is 7.2°. The sidelobe level of the antenna beam is −9.5 dB. As shown in Table V, it requires a 12 × 12 planar array to achieve the design goals, and each array element needs a dedicated phase shifter. For a conventional MIMO radar, 12 transmitters and 12 receivers are necessary. However, with the design
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PENG AND LI: PORTABLE K -BAND 3-D MIMO RADAR
Fig. 29.
11
3-D images of the three targets with the MIMO radar prototype.
proposed in this paper, it only needs eight transmitters and eight receivers to achieve the 2-D beam-scanning design goals. Regarding the scanning time, the phased array approach scans the field of view by steering the beam angle by angle. It requires about 12 × 12 T to finish a scan, where T is the chirp repetition period for the FMCW radar. On the other hand, the conventional TDM-based MIMO radar also needs 12 × 12 T to finish a scan with a single-channel ADC. Its scanning time can be reduced to 12 T by using a 12-channel ADC to sample the 12-channel receivers in parallel. With the proposed MIMO radar system, the scanning time is 8 × 8 T with a single-channel ADC. It can be reduced to 8 T by using an eight-channel ADC to sample the receivers in parallel. VI. C ONCLUSION In this paper, a K -band portable 3-D MIMO FMCW radar system with nonuniformly spaced array has been designed and built. By combining the concept of MIMO and nonuniformly spaced array, higher angular resolution and 2-D beam-scanning capabilities can be achieved with a relatively smaller number of transmitter and receiver channels in the designed K -band MIMO FMCW radar. A specifically designed nonuniformly spaced sparse array is used to improve the angular resolution of the radar system, as well as removing the grating lobes in a conventional sparse array. The built MIMO radar prototype with eight transmitter channels and eight receiver channels features 7.2° 2-D angular resolution, 90° field of view, −9.5-dB sidelobe level, and wireless data transfer. In order to align the phases and amplitudes of each MIMO channel, a calibration procedure was introduced. Experiments of the MIMO FMCW radar prototype revealed its capability in 3-D localization with multiple targets. Potential applications of the proposed MIMO radar system can be short-range localization, robot/drone navigation, and autonomous vehicles. R EFERENCES [1] F. Ahmad and M. G. Amin, “Noncoherent approach to through-the-wall radar localization,” IEEE Trans. Aerosp. Electron. Syst., vol. 42, no. 4, pp. 1405–1419, Oct. 2006.
[2] E. J. Baranoski, “Through wall imaging: Historical perspective and future directions,” in Proc. IEEE Int. Conf. Acoust., Speech Signal Process. (ICASSP), Mar./Apr. 2008, pp. 5173–5176. [3] M. Vossiek, L. Wiebking, P. Gulden, J. Wieghardt, C. Hoffmann, and P. Heide, “Wireless local positioning,” IEEE Microw. Mag., vol. 4, no. 4, pp. 77–86, Dec. 2003. [4] D. M. Grimes and T. O. Jones, “Automotive radar: A brief review,” Proc. IEEE, vol. 62, no. 6, pp. 804–822. Jun. 1974. [5] J. Hatch, A. Topak, R. Schnabel, T. Zwick, R. Weigel, and C. Waldschmidt, “Millimeter-wave technology for automotive radar sensors in the 77 GHz frequency band,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 845–860, Mar. 2012. [6] C. Li, V. M. Lubecke, O. Boric-Lubecke, and J. Lin, “A review on recent advances in Doppler radar sensors for noncontact healthcare monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2046–2060, May 2013. [7] R. Rotman, “Recent advances using microwaves for imaging, hyperthermia and interstitial ablation of breast cancer tumors,” in Proc. IEEE Int. Conf. Microw., Commun., Antennas Electron. Syst. (COMCAS), Nov. 2011, pp. 1–4. [8] B. Schleicher, I. Nasr, A. Trasser, and H. Schumacher, “IR-UWB radar demonstrator for ultra-fine movement detection and vital-sign monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2076–2085, May 2013. [9] H. J. Visser, Array and Phased Array Antenna Basics. Hoboken, NJ, USA: Wiley, 2006. [10] Z. Peng, L. Ran, and C. Li, “A K -band portable FMCW radar with beamforming array for short-range localization and vital-Doppler targets discrimination,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 9, pp. 3443–3452, Sep. 2017. [11] W.-T. Li, Y.-C. Chiang, J.-H. Tsai, H.-Y. Yang, J.-H. Cheng, and T.-W. Huang, “60-GHz 5-bit phase shifter with integrated VGA phaseerror compensation,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1224–1235, Mar. 2013. [12] A. Asoodeh and M. Atarodi, “A full 360° vector-sum phase shifter with very low RMS phase error over a wide bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1626–1634, Jun. 2012. [13] M. Longbrake, “True time-delay beamsteering for radar,” in Proc. IEEE Nat. Aerosp. Electron. Conf. (NAECON), Jul. 2013, pp. 246–249. [14] M. Kim, J. B. Hacker, R. E. Mihailovich, and J. F. DeNatale, “A DC-to-40 GHz four-bit RF MEMS true-time delay network,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 56–58, Feb. 2001. [15] N. S. Barker and G. M. Rebeiz, “Distributed MEMS true-time delay phase shifters and wide-band switches,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 11, pp. 1881–1890, Nov. 1998. [16] X. Tang and K. Mouthaan, “Large bandwidth digital phase shifters with all-pass, high-pass, and low-pass networks,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2325–2331, Jun. 2013. [17] X. Tang and K. Mouthaan, “Design of large bandwidth phase shifters using common mode all-pass networks,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 2, pp. 55–57, Feb. 2012.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
[18] Z. Peng et al., “Radio frequency beamforming based on a complex domain frontend,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 1, pp. 289–298, Jan. 2016. [19] R. Miura, T. Tanaka, I. Chiba, A. Horie, and Y. Karasawa, “Beamforming experiment with a DBF multibeam antenna in a mobile satellite environment,” IEEE Trans. Antennas Propag., vol. 45, no. 4, pp. 707–714, Apr. 1997. [20] A. Matsuzawa, “Trends in high speed ADC design,” in Proc. 7th Int. Conf. (ASIC), 2007, pp. 245–248. [21] R. Feger, C. Wagner, S. Schuster, S. Scheiblhofer, H. Jager, and A. Stelzer, “A 77-GHz FMCW MIMO radar based on an SiGe singlechip transceiver,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1020–1035, May 2009. [22] T. Geibig, A. Shoykhetbrod, A. Hommes, R. Herschel, and N. Pohl, “Compact 3D imaging radar based on FMCW driven frequency-scanning antennas,” in Proc. IEEE Radar Conf. (RadarConf), May 2016, pp. 1–5. [23] A. Shoykhetbrod, T. Geibig, A. Hommes, R. Herschel, and N. Pohl, “Concept for a fast tracking 60 GHz 3D-radar using frequency scanning antennas,” in Proc. 41st Int. Conf. Infr., Millim., Terahertz Waves (IRMMW-THz), Sep. 2016, pp. 1–3. [24] X. Zhuge and A. G. Yarovoy, “A sparse aperture MIMO-SAR-based UWB imaging system for concealed weapon detection,” IEEE Trans. Geosci. Remote Sens., vol. 49, no. 1, pp. 509–518, Jan. 2011. [25] M. Harter, A. Ziroff, and T. Zwick, “Three-dimensional radar imaging by digital beamforming,” in Proc. Eur. Radar Conf. (EuRAD), 2011, pp. 17–20. [26] D. Bleh et al., “W -band time-domain multiplexing FMCW MIMO radar for far-field 3-D imaging,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 9, pp. 3474–3484, Sep. 2017. [27] S. S. Ahmed, A. Schiessl, and L.-P. Schmidt, “A novel fully electronic active real-time imager based on a planar multistatic sparse array,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3567–3576, Dec. 2011. [28] R. L. Haupt, “Thinned arrays using genetic algorithms,” IEEE Trans. Antennas Propag., vol. 42, no. 7, pp. 993–999, Jul. 1994. [29] M. Andreasen, “Linear arrays with variable interelement spacings,” IRE Trans. Antennas Propag., vol. 10, no. 2, pp. 363–369, Mar. 1962. [30] K. Chen, Z. He, and C. C. Han, “A modified real GA for the sparse linear array synthesis with multiple constraints,” IEEE Trans. Antennas Propag., vol. 54, no. 7, pp. 2169–2173, Jul. 2006. [31] R. Bhattacharya, T. K. Bhattacharyya, and R. Garg, “Position mutated hierarchical particle swarm optimization and its application in synthesis of unequally spaced antenna arrays,” IEEE Trans. Antennas Propag., vol. 60, no. 7, pp. 3174–3181, Jul. 2012. [32] T. Pavlenko, C. Reustle, Y. Dobrev, M. Gottinger, L. Jassoume, and M. Vossiek, “Design and optimization of sparse planar antenna arrays for wireless 3-D local positioning systems,” IEEE Trans. Antennas Propag., vol. 65, no. 12, pp. 7288–7297, Dec. 2017. [33] M. Grant and S. Boyd. (Mar. 2014). CVX: MATLAB Software for Disciplined Convex Programming, Version 2.1. [Online]. Available: http://cvxr.com/cvx [34] F. C. Robey, S. Coutts, D. Weikle, J. C. McHarg, and K. Cuomo, “MIMO radar theory and experimental results,” in Proc. Conf. Rec. 38th Asilomar Conf. Signals, Syst. Comput., vol. 1, 2004, pp. 300–304. [35] J. L. J. Liang and Q. L. Q. Liang, “Orthogonal waveform design and performance analysis in radar sensor networks,” in Proc. IEEE Mil. Commun. Conf. (MILCOM), Oct. 2006, pp. 1–6. [36] X. Wu, Z. Tian, T. N. Davidson, and G. B. Giannakis, “Optimal waveform design for UWB radios,” IEEE Trans. Signal Process., vol. 54, no. 6, pp. 2009–2021, Jun. 2006.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Zhengyu Peng (S’15) received the B.S. and M.Sc. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 2011 and 2014, respectively, and the Ph.D. degree in electrical engineering from Texas Tech University, Lubbock, TX, USA, in 2018. In 2017, he was with the Mitsubishi Electric Research Laboratories, Cambridge, MA, USA, where he was involved in the novel design of digital beamforming transmitter architecture for radars and MIMO systems. He is currently a Senior Radar Systems Engineer with Aptiv, Kokomo, IN, USA. His current research interests include automotive radar, antennas, microwave circuits, and biomedical applications of microwave/RF circuits and systems. Dr. Peng was a recipient of the 2016 IEEE Microwave Theory and Techniques Society Graduate Fellowship, the Excellent Demo Track Presentation Award of the 2016 IEEE Radio and Wireless Week, and the Third Place of the Student Design Competition for high sensitivity radar of the 2015 IEEE MTT-S International Microwave Symposium. He was a Reviewer for more than 50 journal papers, including the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , the IEEE T RANSACTIONS ON I NSTRUMEN TATION AND M EASUREMENT, the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —I: R EGULAR PAPERS , the IEEE T RANSACTIONS ON C IR CUITS AND S YSTEMS —II: E XPRESS B RIEFS , the IEEE T RANSACTIONS ON M OBILE C OMPUTING , and the IEEE T RANSACTIONS ON B IOMEDICAL E NGINEERING.
Changzhi Li (S’06–M’09–SM’13) received the B.S. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2004, and the Ph.D. degree in electrical engineering from the University of Florida, Gainesville, FL, USA, in 2009. From 2007 to 2009, he was with Alereon Inc., Austin, TX, USA, and Coherent Logix Inc., Austin, where he was involved in ultra-wideband transceivers and software-defined radio. He joined Texas Tech University, Lubbock, TX, USA, as an Assistant Professor in 2009, and became an Associate Professor in 2014. His current research interests include biomedical applications of microwave/RF, wireless sensor, and analog circuits. Dr. Li served as the TPC Co-Chair for the IEEE MTT-S International Microwave Biomedical Conference in 2018 and the IEEE Wireless and Microwave Technology Conference in 2012 and 2013. He was a recipient of the IEEE Microwave Theory and Techniques Society (MTT-S) Outstanding Young Engineer Award in 2018, the ASEE Frederick Emmons Terman Award in 2014, the IEEE-HKN Outstanding Young Professional Award in 2014, the NSF Faculty Early CAREER Award in 2013, the IEEE MTT-S Graduate Fellowship Award in 2008, and a few Best Paper Awards as author/advisor in IEEE-sponsored conferences. He was an Associate Editor of the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —II: E XPRESS B RIEFS in 2014 and 2015. He is currently an Associate Editor of the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —I: R EGULAR PAPERS .
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Integration of SPDT Antenna Switch With CMOS Power Amplifier and LNA for FMICW Radar Front End Baekhyun Kim , Student Member, IEEE, Jingyu Jang , Student Member, IEEE, Choul-Young Kim , Member, IEEE, and Songcheol Hong, Member, IEEE
Abstract— A single-pole double-throw antenna switch integrated with a CMOS power amplifier (PA) and a low-noise amplifier (LNA) by using a three-piece transmission line transformer (TLT) for a frequency-modulated interrupted continuouswave (FMICW) radar front end is presented. The three-piece TLT consists of a PA output TL, an LNA input TL, and a TL connected to an antenna. Parallel switches and λ/4 effective impedance transformers are used to provide high isolation. Due to the effective integration, the chip size and overall insertion loss are reduced significantly. The measured results demonstrate that the proposed switch achieves an insertion loss of 2.2 (2.6) dB with an isolation of 33 (33.4) dB in the antenna-to-LNA input (PA output to antenna) direction. The chip, including the PA, LNA, and switch, for a 79-GHz FMICW radar front end is fabricated in 65-nm CMOS technology, and its size is 0.394 mm2 . The PA output power is 10.2 dBm at 79 GHz, and the LNA gain is 15 dB at 79 GHz. FMICW radar waveforms are successfully generated with the switch in this chip. The measured results verify that the proposed device generates an FMICW radar waveform at the switching frequency at 6 kHz. Index Terms— Frequency-modulated continuous wave (FMCW), frequency-modulated interrupted continuous wave (FMICW), switching power amplifier (PA) and lownoise amplifier (LNA), W-band switch.
I. I NTRODUCTION
F
REQUENCY-MODULATED continuous-wave (FMCW) radars have several advantages in comparison to pulse radars, such as fewer RF front-end components, narrow IF bandwidth, and a low probability of interference with other systems. FMCW radars obtain range and velocity information from the differences between transmitted and received signals. The difference in frequencies causes beat frequencies,
Manuscript received February 4, 2018; revised April 29, 2018 and June 11, 2018; accepted June 30, 2018. This work was supported in part by the Center for Integrated Smart Sensors funded by the Ministry of Science, ICT and Future Planning as Global Frontier Project under Grant CISS-2012M3A6A6054195 and in part by the National Research Foundation of Korea through the Korea Government (MIST) under Grant 2017R1A2A1A05001361. (Corresponding author: Baekhyun Kim.) B. Kim, J. Jang, and S. Hong are with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, South Korea (e-mail:
[email protected];
[email protected]). C.-Y. Kim is with the Department of Electronics Engineering, Chungnam National University, Daejeon 305-701, South Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2860970
Fig. 1. (a) Block diagram of FMICW radar front end. (b) FMICW radar signals.
of which the bandwidth is quite small for short-range radars. This allows FMCW radars to use low-speed ADCs for their signal processing. However, most continuous radars suffer from Tx leakage problems. This degrades radar performance by reducing the dynamic range. Some previous works have
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Fig. 2.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Schematics of the proposed SPDT antenna T/R switch.
studied FMCW radar sharing one antenna for transmitter and receiver [1]–[5]. The best way to eliminate Tx leakage signals is to differentiate the receiving period from the transmission period by employing single-pole double-throw (SPDT) antenna switch between the transmitter and receiver paths. This technique is the so-called frequency-modulated interrupted continuous wave (FMICW) [6], [7]. Fig. 1 shows a block diagram of an FMICW radar front end and its signals. In this paper, an SPDT antenna switch is integrated with a power amplifier (PA) and a low-noise amplifier (LNA) for an FMICW radar front end by using a three-piece transmission line transformer (TLT). The proposed switch shares the three-piece TLT with the PA and LNA, which can reduce the chip area and insertion loss significantly. Also, the switch has high isolation in both the transmitter and receiver paths because an effective λ/4 impedance is used in the transformers. In this paper, the operating principle of the switch is presented in Section II. Integration of the PA, LNA, and switch is described in Section III. The implementation and the measured results are presented in Section IV. The switching operation of the proposed device and the generated FMICW waveforms are also presented. II. SPDT S WITCH A schematic of the SPDT antenna switch, comprising a three-piece TLT and an effective λ/4 impedance transformer, is shown in Fig. 2. The three-piece TLT functions as PA output-matching and LNA input-matching circuits as well as a switch-matching circuit. The TL connected to the antenna part is shared by the PA and LNA. Parallel switches with effective λ/4 impedance transformers are needed to improve isolation. The channel widths of the switches are 0.12, 0.12 mm (S1, S2) and 0.14, 0.14 mm (S3, S4), which are designed with consideration of insertion loss, matching, and isolation. A. Switch Structure Fig. 2 shows the switch configuration. The three-piece TLT consists of three TLs, which are coupled to each other. The PA
Fig. 3. Operation of the proposed SPDT antenna T/R switch. (a) When the signals are received from the antenna. (b) When the signal is transmitted from PA.
output TL is laterally coupled to the antenna line. Both lines are made of thick metal [top metal: M9 (3.4 μm)], of which the line space is 2 μm and the width is 5 μm. The optimum output impedance of the PA is 36 + j 20 when the output power level is 10 dBm, which is matched by the transformer to approximately 50 . The LNA input TL is vertically coupled to the antenna line. The LNA input TL is made of metal 8 (width of
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: INTEGRATION OF SPDT ANTENNA SWITCH WITH CMOS PA AND LNA
Fig. 5. TLT.
3
Simulation results of the SPDT antenna switch with the three-piece
Effective λ/4 impedance transformers are placed in the PA output and LNA input. These impedance transformers allow high impedance for high isolation. The size of the impedance transformers is reduced by using 1.5-turn inductors, of which the linewidth is 5 μm. B. Switch Operating Principle
Fig. 4. (a) Layout of an effective λ/4 effective impedance transformer and a three-piece transformer. (b) Cross section of the three-piece transformer. (c) Impedance transformation mechanism of effective λ/4 impedance transformer.
metal 8: 0.9 μm), which is placed just under the antenna TL, not under the PA TL. This is expected to reduce PA and LNA coupling. The TL width is 5 μm. The optimum input impedance of the LNA is 120 + j 26 (targeted noise figure is 5.6 dB), which is matched by the transformer to about 50 .
The switch operating principle is presented in Fig. 3. When signals are received from the antenna, the parallel switches in the LNA direction are turned OFF, and the switches in the PA direction are turned ON. The impedance from the antenna part to the PA is made almost infinite by the λ/4 impedance transformer, which makes most of the input signal appear at the antenna port. The effective λ/4 impedance transformer and the three-piece TLT are shown in Fig. 4. The isolation from the antenna port to the PA is greatly increased by the effective λ/4 impedance transformer. When signals are transmitted, the switches work in the opposite manner. The impedance from the PA to the antenna part becomes 50 , and impedance from the antenna port to the LNA becomes almost infinite due to the three-piece TLT. Fig. 5 shows the simulation results of switch isolation and insertion loss in the cases of receiving and transmitting signals. The switch insertion loss is 1.8 dB (2.3 dB) for antenna-toLNA insertion loss (PA to antenna) at 79 GHz. The switch insertion loss includes the insertion losses of the PA outputmatching TLT and the LNA input-matching TLT as well as the insertion loss of the switches with the λ/4 effective impedance transformer to generate isolation. In general, both insertion losses of the output- and input-matching TLTs are around 1 dB, respectively, at 79 GHz. The proposed switch allows a smaller chip size and lowers insertion loss significantly, although it includes the insertion losses of both matching TLTs. III. PA AND LNA As shown in the schematic of the PA in Fig. 6, there are additional switch transistors (M1, M4) to switch the PA. The size of switch transistors M1 and M4 is 0.792 mm,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6. Schematics of two-stage PA with switches implemented at common-source virtual grounds.
which was carefully determined. The RON resistance of the switch transistors increases when the switch is designed to be smaller to ensure a faster switching speed. This degrades the performance of the PA with the same supply voltage. Also, M1 (the power-stage switch) and M4 (the drive-stage switch) are the same size so that the power and driver stages can be switched simultaneously. Two-stage inverter chains are introduced to apply control pulses [8], [9]. To have enough gain, the unit finger width of the transistors and the number of fingers of the transistors were selected by unit gain frequency ( f t ) simulation of the common-source and common-gate transistors. The simulation showed the maximum ft and gain when the unit finger width is 1.5 μm and the number of fingers is 12. The simulation result of the PA is 10 dBm at 79 GHz. The power-stage transistor sizes of M2 and M3 are 0.09 and 0.072 mm. The M2 and M3 transistor sizes were selected carefully by load–pull simulations. Also, a series inductor was introduced between M2 and M3 to provide good output-matching impedance [8], [10]–[15]. The gates of M2 and M5 are biased at 0.6 V, and the gates of M3 and M6 are biased at 1.7 V. The two-stage gain is 15 dB, and the output saturation power is 11.5 dBm at the dc current consumption of 65 mA. The schematics of the LNA with a gate bias switch are shown in Fig. 7. The size of M1 and M5 is 24 μm, and that of M3 and M7 is 36 μm. The inductance of L1 and L2 is 20 pH, and that of L3 and L4 is 100 pH. Parasitic capacitances appear between M1 and M2 transistors at high
Fig. 7.
Schematics of the LNA with a gate bias switch.
Fig. 8.
Microphotograph of the proposed SPDT switch chip.
frequencies, which reduce the gain and generate a large amount of noise. The parasitic capacitances can be eliminated by resonating out at the desired operating frequency with parallel resonant inductors L3 and L4 as shown in Fig. 6. Using a parallel resonant inductor is better than using a series resonant inductor in terms of simultaneous input and noise matching in the differential cascode amplifier with source degeneration inductors [16]–[18]. The simulation results of LNA gain and NF are 15 and 5.6 dB, respectively, which demonstrate the better performance in comparison to other 79-GHz LNAs [19].
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: INTEGRATION OF SPDT ANTENNA SWITCH WITH CMOS PA AND LNA
Fig. 9.
Fig. 10.
5
Fig. 11. LNA.
Microphotograph of the SPDT switch chip integrated with PA and
Fig. 12.
Measured S-parameters of the integrated PA with the switch.
Isolations of the three-piece TLT with switches.
Insertion losses of the three-piece TLT with switches.
IV. M EASUREMENTS Fig. 8 shows a microphotograph of the proposed SPDT antenna switch chip with the three-piece TLT, which was also fabricated to show the switch performance only. The switch includes only two baluns to replace the matching circuits of the PA and LNA and its size is 0.045 mm2 . Its performance was measured by using a network analyzer. Fig. 9 shows the isolations of the SPDT antenna switch chip only with the three-piece TLT. The minimum peak of the antenna-to-PA isolation is −47 dB at 80 GHz, and that of the PA-to-LNA isolation is −45 dB at 80 GHz. The minimum peak frequencies could be designed by the λ/4 effective impedance transformer. The bandwidth of the switch isolation is 66–95 GHz, which was determined at −30-dB isolation. Fig. 10 shows the insertion losses of the switch chip with the three-piece TLT only. The minimum peak of the antennato-LNA insertion loss is 2.2 dB at 79 GHz. The minimum peak of PA-to-antenna insertion loss is 2.6 dB at 81.5 GHz. The proposed SPDT antenna switch is designed based on the TLT; the bandwidth of the switch is determined by that of the
isolation. The measured results of the switch are similar to the simulation results. Fig. 11 shows the integrated antenna switch with the PA and LNA, which was fabricated to demonstrate FMICW operation. The switch chip including the PA and LNA was fabricated using 65-nm CMOS technology, and its size is 0.394 mm2 . The size is significantly reduced by using the integrated switch with the three-piece TLT matching circuit. The performance of the antenna switch integrated with the PA and LNA was measured by using a network analyzer. Fig. 12 shows the measured S-parameters of the integrated PA with the switch. Measured S21 value is around 15 dB at 79 GHz. The values of S11 and S22 are lower than –10 dB, which means that the PA input and the SPDT switch output match well with 50 . Fig. 13 shows the output powers of the PA with 10-dBm output power at 79 GHz. Fig. 14 shows the measured S-parameters of the integrated LNA. The S21 value is 14.8 dB at 80 GHz. The S11 and S22 values are lower than −10 dB. The LNA output and SPDT switch input match well to 50 . Fig. 15 shows the measurement setup that was used to assess the FMICW operation of the proposed device. An FMCW chirp signal was produced by a signal generator. A frequency multiplier was used to produce a 79-GHz signal, which was
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 13.
Output powers of the integrated PA.
Fig. 14.
Measured S-parameters of the integrated LNA with the switch.
Fig. 15.
Measurement setup used to assess FMICW operation.
detected by a spectrum analyzer. A spectrum analyzer and an oscilloscope were used to analyze the FMICW signal waveform. Fig. 16(a) shows the FMCW reference waveform at the antenna switch output without switching operation. Sawtoothwave-type signals were selected as chirp signals. The reference
Fig. 16. (a) FMCW reference waveform. (b) FMICW waveform at the antenna switch output when the switching speed was 6 kHz. (c) Close up of (b).
waveform had the following characteristics: T = 2 ms; B = 550 MHz; and f o = 26.3 GHz. The reference signal was tripled and it was injected into the PA. Fig. 16(b) shows the FMICW waveform at the antenna switch output with switching operations of 6 kHz, which were conducted by switching the PA.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: INTEGRATION OF SPDT ANTENNA SWITCH WITH CMOS PA AND LNA
7
TABLE I P ERFORMANCE C OMPARISONS
λ/4 impedance transformers. FMICW waveforms are achieved by switching the PA and LNA directly. The concept of the integrated switch is expected to be applied to antenna switches in various TDD systems. ACKNOWLEDGMENT The authors would like to thank the Integrated Circuit Design Education Center for their support by providing computer-aided design tools. R EFERENCES
Fig. 17. FMICW waveform at the LNA output when the switching speed was 6 kHz.
Fig. 17 shows the FMICW waveform of the LNA output when the switching speed was 6 kHz. The reference signal was the same as the PA-injected signal. The FMCW reference signal was injected into the switch and modulated by switching the LNA. The PA and LNA were alternately turned ON and OFF, and they were never switched ON at the same time. This allowed a continuous-wave radar to obviate Tx leakage problems. The performance comparisons with reported antenna CMOS switches at the same frequencies are summarized in Table I. The proposed switch, which includes PA output-matching and LNA input-matching TLTs, has not only smaller size but also significantly lower insertion losses than the other switches. V. C ONCLUSION An SPDT antenna switch with a three-piece TLT, which is integrated with a PA and an LNA, was presented. The proposed switch includes PA output-matching and LNA input-matching TLTs. Despite including two matching TLTs, the insertion loss of the switch is very low. It also has high isolation and small size, which are achieved by introducing effective
[1] J. Grajal, A. Asensio, and L. Requejo, “From a high-resolution LFM-CW shipborne radar to an airport surface detection equipment,” in Proc. IEEE Radar Conf., Apr. 2004, pp. 157–160. [2] P. D. L. Beasley, A. G. Stove, B. J. Reits, and B. As, “Solving the problems of a single antenna frequency modulated CW radar,” in Proc. IEEE Int. Conf. Radar, May 1990, pp. 391–395. [3] M. I. Skolnik, Radar Handbook. New York, NY, USA: McGraw-Hill, 1970. [4] K. Lin, Y. E. Wang, C.-K. Pao, and Y.-C. Shih, “A K a-band FMCW radar front-end with adaptive leakage cancellation,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4041–4048, Dec. 2006. [5] A. G. Stove, “Modern FMCW radar–techniques and applications,” in Proc. 1st Eur. Radar Conf., Oct. 2004, pp. 149–152. [6] P. Almorox-Gonzalez, J.-T. Gonzalez-Partida, M. Burgos-Garcia, B. P. Dorta-Naranjo, and J. Gismero, “Millimeter-wave sensor with FMICW capabilities for medium-range high-resolution radars,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 6, pp. 1479–1486, Jun. 2009. [7] J. A. McGregor, E. M. Poulter, and M. J. Smith, “Switching system for single antenna operation of an S-band FMCW radar,” Proc. Inst. Elect. Eng.—Radar, Sonar Navigat., vol. 141, no. 4, pp. 241–248, Aug. 1994. [8] B. Kim, J. Oh, and S. Hong, “24 GHz pulsed transmitter for ultra low power Doppler radar applications,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Nov. 2017, pp. 364–367. [9] J. D. Park and W. J. Kim, “An efficient method of eliminating the range ambiguity for a low-cost FMCW radar using VCO tuning characteristics,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3623–3629, Oct. 2006. [10] J. Oh, J. Jang, C.-Y. Kim, and S. Hong, “A W-band 4-GHz bandwidth phase-modulated pulse compression radar transmitter in 65-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 8, pp. 2609–2618, Aug. 2015. [11] J. Oh, B. Ku, and S. Hong, “A 77-GHz CMOS power amplifier with a parallel power combiner based on transmission-line transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2662–2669, Jul. 2013. [12] I. Gresham et al., “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 9, pp. 2105–2122, Sep. 2004.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[13] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto, “A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 928–937, Apr. 2010. [14] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto, “A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,” in Symp. VLSI Circuits Dig., Jun. 2009, pp. 246–247. [15] A. Tessmann, S. Kudszus, T. Feltgen, M. Riessle, C. Sklarczyk, and W. H. Haydl, “Compact single-chip W-band FMCW radar modules for commercial high-resolution sensor applications,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 12, pp. 2995–3001, Dec. 2002. [16] H. Samavati, H. R. Rategh, and T. H. Lee, “A 5-GHz CMOS wireless LAN receiver front end,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 765–772, May 2000. [17] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 5, pp. 1433–1442, May 2004. [18] B.-J. Huang, K.-Y. Lin, and H. Wang, “Millimeter-wave low power and miniature CMOS multicascode low-noise amplifiers with noise reduction topology,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3049–3059, Dec. 2009. [19] J. Jang, J. Oh, C. Y. Kim, and S. Hong, “A 79-GHz adaptive-gain and low-noise UWB radar receiver front-end in 65-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 859–867, Mar. 2016. [20] Z. Chen, C.-C. Wang, H.-C. Yao, and P. Heydari, “A BiCMOS W-band 2×2 focal-plane array with on-chip antenna,” IEEE J. SolidState Circuits, vol. 47, no. 10, pp. 2355–2371, Oct. 2012. [21] J. W. May and G. M. Rebeiz, “Design and characterization of W -band SiGe RFICs for passive millimeter-wave imaging,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1420–1430, May 2010. [22] S.-F. Chao, H. Wang, C.-Y. Su, and J. G. J. Chern, “A 50 to 94-GHz CMOS SPDT switch using traveling-wave concept,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 130–132, Feb. 2007. [23] A. Tomkins, P. Garcia, and S. P. Voinigescu, “A passive W-band imaging receiver in 65-nm bulk CMOS,” J. Solid-State Circuits, vol. 45, no. 10, pp. 1981–1991, Oct. 2010.
Baekhyun Kim (S’14) received the B.S. and M.S. degrees in electronics and electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2013 and 2015, respectively, where he is currently pursuing the Ph.D. degree in electrical engineering. His current research interests include millimeterwave CMOS integrated circuits and automotive UWB radar systems.
Jingyu Jang (S’14) received the B.S. and M.S. degrees in electronics and electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2013 and 2015, respectively, where he is currently pursuing the Ph.D. degree in electrical engineering. His current research interests include millimeterwave CMOS integrated circuits and automotive UWB radar systems.
Choul-Young Kim (S’04–A’12–M’13)) received the B.S. degree in electrical engineering from Chungnam National University, Daejeon, South Korea, in 2002, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, in 2004 and 2008, respectively. From 2009 to 2011, he was a Post-Doctoral Research Fellow with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA, USA. He is currently an Assistant Professor of electronics engineering at Chungnam National University. His current research interests include millimeter-wave integrated circuits and systems for short-range radar and phased-array antenna applications.
Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics engineering from Seoul National University, Seoul, South Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering and computer science from the University of Michigan, Ann Arbor, MI, USA, in 1989. He was with Samsung Microwave Semiconductor, Milpitas, CA, USA. He is currently a Professor and a KT-Chaired Professor with the School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea. In 1997, he joined the Department of Electrical Engineering and Computer Science, Stanford University, Stanford, CA, USA, as a Visiting Professor. He served as the Dean for research affairs and the Director for KI-IT convergence with KAIST. He has authored or co-authored more than 300 technical papers. He holds 150 patents. His current research interests include RFICs, RF CMOS PAs, and especially millimeter-wave ICs for 5G communications and radars. Dr. Hong is currently a member of the NAEK, KIEES, and KITE. He has served as the Board Member of Technopark of the Daejeon Metropolitan City. He served as the General Chair for RFIT 2017 supported by the IEEE and the TPC Chair for APMC 2013 and GSMM 2014.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A C-Band FMCW SAR Transmitter With 2-GHz Bandwidth Using Injection-Locking and Synthetic Bandwidth Techniques Siegfred Balon , Member, IEEE, Koen Mouthaan , Member, IEEE, Chun-Huat Heng , Senior Member, IEEE, and Zhi Ning Chen , Fellow, IEEE Abstract— A synthetic bandwidth architecture utilizing an injection-locked oscillator to enhance the bandwidth of a linear frequency-modulated continuous wave transmitter is presented. A 500-MHz narrow bandwidth chirp from a direct digital synthesizer is upconverted to four adjacent carrier frequencies with 500-MHz spacing to obtain an effective synthetic bandwidth of 2 GHz at the C-band (43%). The four adjacent frequencies are obtained through the injection-locking technique to achieve fast frequency switching. This effectively results in an improved range resolution of 7.5 cm. Single-sideband mixing is employed to reduce in-band spurs and to avoid the use of switched filters. The architecture demonstrates ranging capability with a standard deviation of about 0.8 mm (1.36σ CRLB , 26-dB SNR). Synthetic aperture radar images obtained from the proposed transmitter show sidelobe levels of less than −27 and −36 dBc in range and azimuth, respectively. Index Terms— Direct digital synthesizer (DDS), frequencymodulated continuous wave (FMCW), injection-locked oscillator (ILO), synthetic aperture radar (SAR) imaging, synthetic bandwidth.
I. I NTRODUCTION
S
YNTHETIC aperture radar (SAR) has proven to be a valuable tool in microwave imaging. The use of frequency-modulated continuous wave (FMCW) for the SAR is an attractive alternative to commonly used pulsed waveforms as it maximizes the available transmit power, and thus the sensing range. However, its continuous transmission and direct transmit-to-receive leakage limit its performance in terms of achievable range as the returned weak signals from the object are usually below the receiver noise floor. Nonetheless, FMCW can still be used in short-to-medium ranging and SAR imaging. The experimental validation on the use of an FMCW transceiver for SAR has been reported [1]–[5]. The imaging resolution is one critical aspect of an SAR system. The range resolution is mostly dependent on the transmit signal bandwidth while the azimuth resolution is dependent on the antenna pattern and processing. However, creating an image with high range resolution requires both wide bandwidth and linear chirp. The simplest way to create
Manuscript received March 27, 2018; revised July 20, 2018; accepted August 21, 2018. (Corresponding author: Siegfred Balon.). The authors are with the Electrical and Computer Engineering Department, National University of Singapore, Singapore 117583 (e-mail:
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2870821
a frequency chirp is to use a sawtooth or triangular voltage waveform to control a voltage-controlled oscillator (VCO). However, this approach suffers from high nonlinearity under open-loop operation. Although phase-locked loops (PLLs) can be employed to linearize the VCO, due to the finite PLL filter bandwidth, the architecture suffers from limited chirp rate to ensure loop stability and to maintain locking. An FMCW chirp architecture based on dual-PLL reported a very wide bandwidth chirp, but at the expense of system complexity [6]. FMCW systems using direct digital synthesizer (DDS) as the main chirp generator have also gained popularity. Due to the digital nature of the DDS, the frequency can be accurately controlled. Its open-loop nature also enables high chirp rates. However, the achievable wideband chirp signal is ultimately limited by the highest clock frequency that can be used to run the DDS. Currently, commercially available DDS with a maximum clock rate of 3.5 GHz can generate sinusoidal signals up to 1.4 GHz (about 40% of the Nyquist frequency) with acceptable spurious levels. Hence, DDS-based chirp generation often combines a mixer-based technique to operate at the desired frequency band. Due to the need to suppress the unwanted image after the upconversion, there is also a need to limit the lowest frequency that can be generated by the DDS. Thus, the achievable chirp bandwidth based on the DDS alone is usually limited. Although a frequency multiplier [7] can be employed to widen the chirp bandwidth, the technique will worsen the phase noise and phase/frequency error performance. Additional filtering and gain stages are also needed after multiplication, which complicates the overall architecture. In this paper, we propose a synthetic bandwidth technique to widen the bandwidth of a DDS-based FMCW chirp and investigate its design considerations. In the proposed architecture, the narrowband DDS chirp will be upconverted to different adjacent carrier frequencies with frequency spacing identical to the DDS chirp bandwidth. The resulting chirp bandwidth is thus enhanced without the need of frequency multiplication. This approach avoids the worsening of phase/frequency errors due to the frequency multiplier. The synthetic bandwidth technique has not been extensively used in FMCW although it has been previously employed for pulsed linear frequency-modulated (LFM) systems [8]–[11]. In [12] and [13], a narrowband chirp is upconverted to different carrier frequencies. However, to enable the fast switching
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
of the carrier frequency, it uses multiple PLLs, high-speed RF switches, and filter banks. This complicates the overall synthetic bandwidth architecture. To simplify the architecture, we employ a fast-switching injection-locked oscillator (ILO) and single-sideband (SSB) mixer to upconvert the DDS signal to different adjacent frequencies. This eliminates any need of high-speed RF switches, switched filter banks, and multiple local oscillators (LOs). In [14], a signal processing approach was proposed to reconstruct the high-resolution range profile from short-range targets using an FMCW synthetic bandwidth signal generated through the benchtop signal generator and frequency synthesizer. In our proposed signal generation, only the standard Fourier transform is necessary for the processing of short-range targets. This is an extension of [15], which reported a 600-MHz chirp bandwidth and the corresponding ranging performance. In this paper, the bandwidth is further extended to 2 GHz, and an image-reject (IR) mixer was used to eliminate the additional RF filter. The use of an IR mixer enables the use of more subbands (SBs) that are not possible with the previous implementation. However, this produces in-band spurs, with the effects considered and analyzed in this paper. Timing and synchronization errors are also investigated. Finally, the SAR capability is studied in detail. This paper is organized as follows. We first analyze the signal model for a DDS-based system and the related parameters to approximate a linear FMCW. Their impact on SAR performance is also studied. In Section III, the implementation of the proposed architecture is shown, and the design consideration is discussed. The corresponding ranging and imaging results are presented in Section IV. Finally, we draw the conclusion in Section V. II. S IGNAL M ODEL FMCW signals are used widely to detect range and velocity. In this paper, we focus on its ranging and imaging capabilities. An FMCW signal with bandwidth B, modulation period T , and chirp rate k = B/T can be expressed as sT = A T exp{j 2π f 0 t + j πkt 2 + j φe (t) + j φ0 }
(1)
where 0 ≤ t ≤ T , φ0 is a constant phase offset, f 0 is the starting frequency of the chirp, and φe is the associated phase errors due to the chirp nonlinearity, which is 0 for an ideal chirp. Subsequently, the reflected signal received by the radar is an attenuated, time-delayed version of the transmitted signal reflected off a target s R = αsT (t − τd ).
(2)
The time delay τd is associated with a target at a distance R and is equal to 2R/c. c is the speed of light. After dechirping, the beat signal s B can be expressed as s B = sT × s ∗R = Abeat exp j 2πkτd t − j πkτd2 + j 2π f 0 τd + j φe (t)
(3)
where the range information is obtained from the beat frequency f beat = kτd after performing Fourier transform on the beat signal. For SAR imaging, the Doppler phase history due
Fig. 1. Illustration of the frequency error f e for a stepped frequency approximation to a linear chirp. The frequency output of the DDS f DDS is incremented by f at every time step T .
to the radar platform movement relative to the target can be recovered from the phase 2π f 0 τd . It is used to increase the resolution of imaging along the azimuth direction (also called the cross-range direction). For a chirp generator with phase nonlinearities, the beat signal exhibits some phase error φe (t) = φe (t) − φe (t − τd ) that affects the spectrum of the beat signal. Hence, it is important to analyze this error in our proposed chirp generation and its effects on both the beat frequency and the Doppler phase history. The effects of DDS with its frequencystepping characteristic to approximate the linear chirp are first studied. Following that, we extend the analysis to our proposed synthetic bandwidth architecture. A. DDS-Based Chirp Signals The frequency error f e in DDS-generated chirps exhibits a sawtooth profile as shown in Fig. 1. The chirp signal generated by a DDS is clearly not a linear ramp as it takes some time, T > 0, to change its frequency output by f , resulting in a staircase approximation of a linear chirp. For example, Analog Devices AD9914 takes 24 clock cycles for the frequency stepping to occur. This leads to frequency-stepped modulation of the DDS output. However, the phase is still continuous due to the phase accumulation in the implementation of a DDS. Nonetheless, with a careful selection of the time duration of the frequency step, we can get a good approximation to a linear FMCW. The frequency error can be represented by a Fourier series due to its periodic nature. The corresponding phase error is obtained in the Appendix based on this frequency error. The beat frequency in (3) with this additional sawtooth frequency error is approximately sB ∼ = Abeat exp( j 2π f beat t) exp − j πkτd2 + j 2π[ f 0 − f /2]τd
+∞ 2π × J0 (β1 ) + nt + θn J1 (βn ) exp j T n=1
2π + J−1 (βn ) exp − j nt +θn (4) T where n = 1, 2, 3, . . . , J is the Bessel function of the first kind, βn and θn are the amplitude and phase of the Fourier series expansion of the sawtooth error, respectively. Based on (4), the following insights are obtained. 1) The beat signal will have spurious outputs located at | f beat ± (n/T )|. 2) The amplitude of these spurious outputs is proportional to the Bessel function coefficients J±1 (βn ).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BALON et al.: C-BAND FMCW SAR TRANSMITTER
3
TABLE I S PECIFICATIONS FOR THE DDS E XPERIMENT
3) The Doppler phase history is corrupted by the frequency stepping error. This is not critical as the error is constant, usually much less than f 0 ( f f 0 ) and can be corrected by azimuth autofocus. Hence, the first spur, n = 1, in the beat frequency must be located outside the beat signal bandwidth to avoid ambiguous targets 1 | ≥ fbeat,max (5) T ≤ f beat,max . The difference in (5) implies that | f beat ±
where 0 ≤ fbeat
1 ≥ 2 fbeat,max T
≥ 2 × 2Rmax c f T f ≤ c 4Rmax
(6)
where Rmax is the desired unambiguous range. Equation (6) introduces the maximum allowable frequency step size for the DDS to avoid ambiguous targets within the maximum sensing range of Rmax . The first spur has a relative magnitude of (assume J0 (β1 ) ∼ = 1) P1 (dBc) ∼ = 20 log10 |J±1 (β1 )|.
(7)
Equation (7) approximates the first spur’s magnitude from β1 and is important if the spur cannot be pushed outside the beat signal bandwidth. For this first spurious output to be less than −50 dBc, we approximate the corresponding limit on the time step of the DDS by using the maximum value of β1 from (25) 20 log10 |J±1 (β1,max )| ≤ −50 f T ≤ 10.1 × 10−3 .
(8)
To validate the approximation in (7), a DDS experiment was carried out following the constraint set in (8). Using AD9914, a chirp signal was generated with the frequency step chosen such that the unambiguous range is Rmax = 500 m. The time step is then set to keep the first spur below −50 dBc. The parameters are summarized in Table I. The resulting chirp signal is then sampled for subsequent signal processing. To mimic the returned chirp signal from a target at a given range R, the sampled signal is digitally delayed by the number of samples corresponding to τd = 2R/c. The delayed chirp is multiplied with the originally sampled chirp to perform the dechirping. A fast Fourier transform (FFT) is then employed to obtain the impulse response (IPR) of the resulting beat signal. Fig. 2 shows the calculated response with the first spur location and its level of −50-dBc matching well the prediction from (4) under different ranges.
Fig. 2. Beat spectrum using a sampled DDS chirp where f = 150 kHz is selected such that the unambiguous range is Rmax = 500 m and T = 63ns is selected to limit the first spur to −50 dBc. A target less than (top), equal to (middle), and greater than (bottom) the unambiguous range. If R = Rmax , the first spur will fall directly on the beat frequency.
Hence, the above-mentioned analysis gives insight on the choice of time step and frequency step to ensure negligible impact on the beat spectrum and the Doppler phase history due to the DDS staircase-like approximation of FMCW. The analysis is also applicable to other stepped-frequency-based systems like PLLs. B. Synthesized Bandwidth If the DDS-generated chirp is upconverted to multiple adjacent carrier frequencies with frequency spacing equal to the DDS chirp bandwidth as shown in the time–frequency diagram of Fig. 3, then a wideband chirp can be synthesized. As discussed in [15], as long as there are no gaps or overlaps among the SBs within the synthesized chirp, the beat signal will exhibit phase continuity. Separate processing of each SB is thus not necessary. In reality, time gaps can exist between the SBs due to the finite transition time or lack of synchronization. In [9], the timing error for synthetic bandwidth pulsed SAR systems has been analyzed. It was shown that these timing errors cause phase errors and degradation of the IPR. However, due to different waveforms and processing between the pulsed LFM and FMCW, a modified analysis is needed. A modified model of the synthetic bandwidth FMCW incorporating the time transition in between the SBs is presented here. We define Tgap as the time duration from the end of a previous SB chirp to the start of the next SB chirp. It includes the recovery period of the DDS (or any sawtooth FMCW generator) and the synchronization of the LO switching as illustrated in Fig. 4. Due to Tgap , the synthetic bandwidth system now consists of a summation of the beat signals given in (3) lasting for each SB duration Tsub s B,synth(n, t) = Abeat exp j 2πkτd (t − n(Tsub + Tgap )) (9) − j πkτd2 + j 2π f n τd + j φe for n = 0, . . . , N − 1 and n(Tsub + Tgap ) ≤ t < (n + 1)Tsub. N is the total number of SBs and f n are the starting frequencies of each SB chirp. The phase terms in (9) are lumped as φb,n = j 2π( f n − nk(Tsub + Tgap ))τd
(10)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 3. Implementation of a synthetic bandwidth architecture using off-the-shelf components except for the ILO (left). Time–frequency diagram of the proposed architecture where the baseband signal chirps from f low to f high and the switching oscillator switches from f LO1 to f LON (right).
III. I MPLEMENTATION A. Proposed Architecture
Fig. 4.
Illustration of the time gaps in-between transitions.
Fig. 5. Simulated uncorrected IPR for different transition times in-between SBs (Tgap ) and target range. Simulation parameters: chirp rate = 1 GHz/ms, Tsub = 0.5 ms, N = 4 SBs, and BW = 2 GHz. δ R indicates the distance from the ideal center of the IPR. Short range (10 m) shows good IPR even at Tgap = 100ns.
φb,n changes with every SB. If the signal is to be continuous in frequency, the spacing of fn (or equivalently the spacing between the adjacent frequencies) must be equal to the SB bandwidth fn − f n−1 = kTsub.
(11)
B. Subharmonic ILO as a Switching LO
Equation (10) will then simplify to φb,n = j 2π( f 0 − nkTgap)τd .
We modified the architecture proposed in [15]. Instead of using a single-path upconversion followed by RF filtering to obtain the SSB, an IR mixer is employed to achieve the SSB upconversion without the need of RF SB filtering. The chirp signal from the DDS is first passed through a 90° hybrid coupler to obtain the IF quadrature signals. The IF in-phase and quadrature-phase (IQ) signals are then fed to an IR mixer to obtain the sum output ( f LO + f IF ). A subharmonic ILO is used as the LO to provide the switching of adjacent frequencies. In theory, any chirp generator can be used as the narrowband chirp generator. However, a DDS is employed here due to its excellent frequency control, especially at the transition between each SB when the DDS frequency switches from the maximum to the minimum. It is important to ensure synchronization between the DDS and the LO to obtain the desired synthetic bandwidth. In the proposed system, a master clock is used to achieve the synchronization. Manual calibration is employed to trigger the DDS and LO at the right instant to ensure synchronization between the IF and LO paths. It should be noted that due to the mismatch between the I and Q paths, there might exist residual unwanted image. In addition, LO leakage and unwanted intermodulation products would also appear at the output spectrum due to the lack of RF filtering. These signals could potentially degrade the SAR imaging. We will discuss this further in Section III-D.
(12)
However, each SB will still be phase shifted by −2πnkTgap τd . Hence, it is necessary to process each of the SBs with phase compensation at the receiver. Without phase compensation, the IPR will be degraded due to the phase modulation, as shown in Fig. 5. For a 2-GHz system with four SBs and Tsub of 500 μs, Tgap should not exceed 10 ns to limit the sidelobe levels to −25 dBc for targets at the range R = 500 m. For farther targets, higher bandwidth or shorter modulation period, this timing requirement will be even more stringent. Hence, a phase compensation for each SB must be employed. Fortunately, in a synchronized system, Tgap is systematic and can be measured.
Due to the fast switching requirement ( μmax then Break; else μ ← μ− μ γ ← N − 2α tr(H −1 ) if r = b then α←1 else γ α← 2 2 r − b 2 L NN,(i) if l=1 − u lmeas = 0 or L ≤ γ then u β←1 else β ← L
where γ (i) is the effective number of pixels given by γ (i) = N − 2α (i−1) tr(H −1 )
L−γ
u NN,(i) −ulmeas 2 until one of the stopping criteria is met; 2
l=1
Here, μ(i) is a step length chosen to minimize the data-error equation as shown in the Algorithm. Furthermore, r (i) ∈ M is the residual vector given as r (i) =
L
L u NN,(i) − u lmeas = L LNN r(i) − u lmeas .
l=1
l=1
(9) I N is an N ×N identity matrix, and J ∈ M×N is the Jacobian matrix given by ⎡ ∂r ∂r1 ∂r1 ⎤ 1 ··· ⎢ ∂r,1 ∂r,2 ∂r,n ⎥ ⎢ ∂r ∂r2 ∂r2 ⎥ ⎥ ⎢ 2 ··· ⎥ ⎢ ∂ ∂ ∂ ⎥. ⎢ r,1 r,2 r,n J =⎢ (10) . . ⎥ .. ⎥ ⎢ .. . . . . . . ⎥ ⎢ ⎣ ∂rm ∂rm ∂rm ⎦ ... ∂r,1 ∂r,2 ∂r,n (i)
Here, rm and r,n are elements of vectors r (i) and r , respectively. As for H, it is the Hessian matrix given by H = 2β (i) J T J + 2α (i) I N .
(12)
with tr(H −1 ) being the trace of matrix H −1 . The effective number of pixels γ , calculated in (12), is an estimate number of pixels within the imaging domain whose permittivity values need to be updated in order to minimize the data-error equation. The initial value for γ is N, with this value changing as the algorithm converges; the final value of γ is approximately the number of pixels in both the real and imaginary permittivity profiles within the imaging domain where an object is located (that is different from the background). Moreover, at the end of each iteration, the new estimates for the relative complex permittivity vector were constrained to remain within physical bounds; the real part of r was kept greater than 1, whereas the imaginary part was constrained to be a nonnegative number. In addition, if one of the denominators is zero in equations shown in (11), the corresponding value of either α (i) or β (i) is set to 1; furthermore, if β (i) ≤ 0, β (i) = 1. For initializing the algorithm, α (0) = 0, β (0) = 1, and the real and imaginary parts of the relative complex permittivity were set to one and zero vectors, respectively, such that (0) r = b . Furthermore, for initializing and updating the step length μ, μ(0) = μ0 = 1, μ+ = 10, and μ− = 0.1. It should be noted that μ+ should be always greater than one, while μ− should be between 0 and 1, exclusive. The algorithm is terminated based on three criteria: 1) the maximum number of iterations is reached; 2) the coefficient of variation R 2 is approximately one; and 3) the changes in r between two consecutive iterations are negligible. D. Relation Between β, SNR, and S21 Measurements In this section, the relationship between the coefficient β, signal-to-noise ratio (SNR), and acquired measurements will be detailed. During the measurement collection process, the real and imaginary components of S21 are being acquired from the network analyzer. Assuming that the VNA source is stable and the error in the VNA’s transmitted signal is negligible, a single complex measurement S21 can be given as S21 =
V2− + ξ V1+
−1 = S˜21 + V1+ ξ.
(13)
Here, S˜21 is the value of S21 in the ideal case when no external noise is present, and ξ is complex-valued experimental noise added from the surroundings and other electronics during the measurements.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RAHAMA et al.: NOVEL MWT SYSTEM USING PHASED-ARRAY ANTENNA
7
On the other hand, in the mathematical modeling of the system using the NN, a single measurement i from a data meas is given as set u l,i meas u l,i = LNN [ r ]i + δl,i .
(14)
Here, δl,i is a real-valued number that represents the discrepancy between measurement and the output of the NN forward operator. This difference is due to the experimental noise, such as thermal noise from the system, antennas, and electronics. Assuming that δl,i is normally distributed with zero mean, meas is normally distributed with mean the distribution of ul,i
NN meas can be written as r i . Hence, the distribution of u l,i L 2 meas meas −LNN [ ] β −β ul,i r i e P u l,i | r , β, N = . (15) π Using expressions (14) and (15), the expected value of the error in S21 can be calculated to be ⎡ ⎤ ξ 2 1 (16) E ⎣ + ⎦ = . V1 β
Fig. 5. Reconstructions of the (a) real (r ) and (b) imaginary (r ) components of the relative complex permittivity of OI 1 configuration.
Thus, the SNR of the measurements is SNR = β| S˜21 |2 .
(17)
As can be seen from the result in (17), the selection of the number of different sets of measurements L can be justified by the SNR in many cases. The value of β at the end of the inversion process may reflect whether more measurements are required or not. IV. R ESULTS AND D ISCUSSION A. Inversion of Single Data Set of Measurements L = 1 After training the NN as explained in Section III-B, a single data set (L = 1) was collected for each of the following OIs configurations. 1) OI 1: An off-centered cylindrical plastic tube of 2.8-cm diameter filled with distilled water. 2) OI 2: An off-centered cylindrical plastic tube of 2.8-cm diameter filled with pure glycerin. 3) OI 3: Two off-centered cylindrical tubes of 1.4-cm diameter each, filled with distilled water, and separated by 2.3 cm (edge-to-edge). 4) OI 4: Two off-centered cylindrical tubes of 1.4-cm diameter each, filled with distilled water, and separated by 0.2 cm (edge-to-edge). 5) OI 5: Two off-centered cylindrical tubes of 1.4-cm diameter each, separated by 5.4 cm (edgeto-edge), with one tube filled with distilled water and the other by glycerin. At a room temperature of 21 °C and at a frequency of 3.5 GHz, the relative permittivity of distilled water and glycerin is approximately 76 − j 13 and 7 − j 3, respectively, [33], [34]. For all the configurations, the matching medium surrounding the OI was kept as air (r ≈ 1). The reconstruction results of the inversion algorithm are shown in Figs. 5 and 6. In each figure, dotted circles are used
Fig. 6. Real component of the relative permittivity reconstruction results for (a) OI 2, (b) OI 3, (c) OI 4, and (d) OI 5 configurations.
to indicate the actual location and size of the objects. It should be noted herein that the reconstruction results are output on a 20 × 20 square grid; however, using bicubic interpolation, Figs. 5 and 6 are presented a 1000 × 1000 grid to improve the visual representation. The latter has been performed as a postprocessing step after the algorithm is terminated; thus, it has no effect on the results or performance of the inversion algorithm. In Fig. 5, the reconstruction results of real and imaginary components of the relative permittivity are shown for OI 1. As can be observed from the reconstructions, the inversion algorithm was able to estimate the location and size of the object correctly; furthermore, the algorithm estimated that the relative permittivity to be approximately 76 − j 11, which is close to the theoretical permittivity of water at a frequency of 3.5 GHz [33], [34]. In Fig. 6, the reconstructions of the real component of the relative permittivity for OIs 2–5 are shown. In all the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 7. Real component of the relative permittivity reconstruction results for OI 1 with SNR = 20 dB for (a) L = 1, (d) L = 3, and (g) L = 10, with SNR = 10 dB for (b) L = 1, (e) L = 10, and (h) L = 100, and with SNR = 5 dB for (c) L = 1, (f) L = 10, and (i) L = 100.
reconstructions, the location and size of the objects were estimated successfully by the algorithm. As for the electrical properties, the algorithm was able to distinguish between a cylinder filled with water ((r ) ≈ 73) and a tube filled with glycerin ((r ) ≈ 10). B. Noise Performance Using L > 1 With the presence of noise, the reconstruction results of the inversion algorithm can be enhanced by collecting multiple measurement data sets for the same target configuration and using them as algorithm inputs. Furthermore, the objective of this section is to validate the aforementioned hypothesis as well as evaluate the performance of the inversion technique against noise. The first step was collecting L multiple data sets for the same target; for this paper, configuration OI 1 was selected. Next, AWGN was superimposed on the collected measurements to obtain a particular SNR level. The L noisy repeated measurements were used as the input to the inversion algorithm to reconstruct an image of the OI. The study was
performed for different values of L and SNR levels. The results for OI 1 real component image reconstructions are shown in Fig. 7. For SNR levels of {5, 10, 20} dB, a single set of measurements (L = 1) was not sufficient to reconstruct an image. Note that the added noise (even at 20-dB SNR) is higher than the actual noise present in the system. Moreover, the algorithm was successful in reconstructing an image as the number of measurements L increased for the different levels of SNR. Furthermore, for higher SNR (lower noise power), a smaller L value was required to obtain an image. Thus, for measurements where the received signal power is relatively small relative to the noise power (low SNR), it is still possible to retrieve an image with the aid of multiple (repeated) measurements. For such cases, the use of a phased-array MWT system has an advantage over a mechanical system as a large number of measurements can be acquired extremely fast in comparison with the slow acquisition time of a mechanically moving antenna.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RAHAMA et al.: NOVEL MWT SYSTEM USING PHASED-ARRAY ANTENNA
9
R EFERENCES
Fig. 8. Real component of the relative permittivity reconstruction result with SNR = −3 dB for L = 400 for OI 1.
To demonstrate the aforementioned advantage, 40 000 repeated measurements were collected for the same OI (OI 1), and then, the white noise was added to each data set, such that the SNR is equal to −3 dB, which means that the noise power is double the signal power. Next, to reduce the number of data sets, the 40 000 sets were divided equally into 400 groups, and the measurements within each group were averaged together. As per the central limit theorem, by averaging 100 measurements together, the noise within the resulting average approaches a normal distribution; this satisfies the assumption in the proposed inversion algorithm that the noise is normally distributed. Finally, the L = 400 measurements were used as an input for the inversion algorithm; the final reconstruction result of the relative permittivity’s real part is shown in Fig. 8. The result shows clearly that the algorithm successfully reconstructed the OI despite the low SNR. V. C ONCLUSION In this paper, preliminary work on the development of a novel MWT system has been presented. This paper has two major contributions in comparison with the existing research in microwave imaging. The first unique feature of the system is that it uses only a single phased-array antenna as its transmitter along with a few receivers. By varying the phase configuration of the array antenna, different measurements are acquired at the receivers. Furthermore, when the receivers themselves are inactive, they act as reflectors in the system. The second novelty of this paper is in the use of NNs to develop a numerical model of the system; this model is used as a forward solver for the utilized inversion algorithm. The system along with the inversion algorithm was tested successfully for various OIs configurations. Finally, it was demonstrated that with the use of the developed system to collect repeated measurements extremely fast, the implemented inversion algorithm was able to reconstruct the OI even for SNR less than 0 dB. ACKNOWLEDGMENT The authors would like to thank FabLab UAE for their support in fabricating the antennas.
[1] N. K. Nikolova, “Microwave imaging for breast cancer,” IEEE Microw. Mag., vol. 12, no. 7, pp. 78–94, Dec. 2011. [2] A. H. Golnabi, P. M. Meaney, and K. D. Paulsen, “3D microwave tomography of the breast using prior anatomical information,” Med. Phys., vol. 43, no. 4, pp. 1933–1944, 2016. [3] A. Hamidipour, T. Henriksson, M. Hopfer, R. Planas, and S. Semenov, “Electromagnetic tomography for brain imaging and stroke diagnostics: Progress towards clinical application,” in Emerging Electromagnetic Technologies for Brain Diseases Diagnostics, Monitoring and Therapy. Cham, Switzerland: Springer, 2018, pp. 59–86. [4] P.-H. Tournier et al., “Numerical modeling and high-speed parallel computing: New perspectives on tomographic microwave imaging for brain stroke detection and monitoring,” IEEE Antennas Propag. Mag., vol. 59, no. 5, pp. 98–110, Oct. 2017. [5] F. Soldovieri, R. Solimene, and G. Prisco, “A multiarray tomographic approach for through-wall imaging,” IEEE Trans. Geosci. Remote Sens., vol. 46, no. 4, pp. 1192–1199, Apr. 2008. [6] K. Ren, J. Chen, and R. J. Burkholder, “A 3-D uniform diffraction tomographic algorithm for near-field microwave imaging through stratified media,” IEEE Trans. Antennas Propag., vol. 66, no. 6, pp. 3034–3045, Jun. 2018. [7] O. Yurduseven, “Indirect microwave holographic imaging of concealed ordnance for airport security imaging systems,” Prog. Electromagn. Res., vol. 146, pp. 7–13, Apr. 2014, doi: 10.2528/PIER14032304. [8] A. Abubakar, T. M. Habashy, V. L. Druskin, L. Knizhnerman, and D. Alumbaugh, “2.5D forward and inverse modeling for interpreting low-frequency electromagnetic measurements,” Geophysics, vol. 73, no. 4, pp. F165–F177, 2008. [9] C. Gilmore, M. Asefi, J. Paliwal, and J. LoVetri, “Industrial scale electromagnetic grain bin monitoring,” Comput. Electron. Agricult., vol. 136, pp. 210–220, Apr. 2017. [10] M. Pastorino, Microwave Imaging. Hoboken, NJ, USA: Wiley, 2010, vol. 208. [11] C. Gilmore et al., “A wideband microwave tomography system with a novel frequency selection procedure,” IEEE Trans. Biomed. Eng., vol. 57, no. 4, pp. 894–904, Apr. 2010. [12] P. M. Meaney, M. W. Fanning, D. Li, S. P. Poplack, and K. D. Paulsen, “A clinical prototype for active microwave imaging of the breast,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 11, pp. 1841–1853, Nov. 2000. [13] J. Bourqui, J. M. Sill, and E. C. Fear, “A prototype system for measuring microwave frequency reflections from the breast,” Int. J. Biomed. Imag., vol. 2012, Jan. 2012, Art. no. 851234. [Online]. Available: https://www.hindawi.com/journals/ijbi/2012/851234/cta/ [14] D. S. Shumakov and N. K. Nikolova, “Fast quantitative microwave imaging with scattered-power maps,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 1, pp. 439–449, Jan. 2018. [15] A. M. Hassan, T. C. Bowman, and M. El-Shenawee, “Efficient microwave imaging algorithm based on hybridization of the linear sampling and level set methods,” IEEE Trans. Antennas Propag., vol. 61, no. 7, pp. 3765–3773, Jul. 2013. [16] L. Crocco, I. Catapano, L. D. Donato, and T. Isernia, “The linear sampling method as a way to quantitative inverse scattering,” IEEE Trans. Antennas Propag., vol. 60, no. 4, pp. 1844–1853, Apr. 2012. [17] O. Dorn, E. L. Miller, and C. M. Rappaport, “A shape reconstruction method for electromagnetic tomography using adjoint fields and level sets,” Inverse Problems, vol. 16, no. 5, p. 1119, 2000. [18] A. Zakaria, C. Gilmore, and J. LoVetri, “Finite-element contrast source inversion method for microwave imaging,” Inverse Problems, vol. 26, no. 11, p. 115010, Nov. 2010. [19] P. Mojabi and J. LoVetri, “Microwave biomedical imaging using the multiplicative regularized Gauss–Newton inversion,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 645–648, 2009. [20] S. Caorsi and C. Lenzi, “A breast cancer detection approach based on radar data processing using artificial neural network,” Res. J. Adv. Eng. Sci., vol. 1, no. 4, pp. 213–222, 2016. [21] S. Caorsi and P. Gamba, “Electromagnetic detection of dielectric cylinders by a neural network approach,” IEEE Trans. Geosci. Remote Sens., vol. 37, no. 2, pp. 820–827, Mar. 1999. [22] D. A. Woten, J. Lusth, and M. El-Shenawee, “Interpreting artificial neural networks for microwave detection of breast cancer,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 825–827, Dec. 2007.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[23] I. T. Rekanos, “Inverse scattering of dielectric cylinders by using radial basis function neural networks,” Radio Sci., vol. 36, no. 5, pp. 841–849, Sep./Oct. 2001. [24] E. Bermani, S. Caorsi, and M. Raffetto, “Microwave detection and dielectric characterization of cylindrical objects from amplitude-only data by means of neural networks,” IEEE Trans. Antennas Propag., vol. 50, no. 9, pp. 1309–1314, Sep. 2002. [25] I. T. Rekanos, “Neural-network-based inverse-scattering technique for online microwave medical imaging,” IEEE Trans. Magn., vol. 38, no. 2, pp. 1061–1064, Mar. 2002. [26] U. S. Kamilov, D. Liu, H. Mansour, and P. T. Boufounos, “A recursive born approach to nonlinear inverse scattering,” IEEE Signal Process. Lett., vol. 23, no. 8, pp. 1052–1056, Aug. 2016. [27] I. Elshafiey, L. Udpa, and S. S. Udpa, “Application of neural networks to inverse problems in electromagnetics,” IEEE Trans. Magn., vol. 30, no. 5, pp. 3629–3632, Sep. 1994. [28] H.-J. Lee, C.-H. Ahn, C.-S. Park, B.-S. Jeong, and S.-Y. Lee, “New iterative inverse scattering algorithms based on neural networks,” IEEE Trans. Magn., vol. 30, no. 5, pp. 3641–3643, Sep. 1994. [29] M. Kayri, “Predictive abilities of Bayesian regularization and Levenberg–Marquardt algorithms in artificial neural networks: A comparative empirical study on social data,” Math. Comput. Appl., vol. 21, no. 2, p. 20, 2016. [30] C. A. Balanis, Antenna Theory: Analysis and Design. Hoboken, NJ, USA: Wiley, 2005. [31] M. Riedmiller and H. Braun, “A direct adaptive method for faster backpropagation learning: The RPROP algorithm,” in Proc. IEEE Int. Conf. Neural Netw., Mar./Apr. 1993, pp. 586–591. [32] F. Dan Foresee and M. T. Hagan, “Gauss-Newton approximation to Bayesian learning,” in Proc. Int. Conf. Neural Netw., vol. 3, Jun. 1997, pp. 1930–1935. [33] P. M. Meaney, C. J. Fox, S. D. Geimer, and K. D. Paulsen, “Electrical characterization of glycerin: Water mixtures: Implications for use as a coupling medium in microwave tomography,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1471–1478, May 2017. [34] U. Kaatze, “Complex permittivity of water as a function of frequency and temperature,” J. Chem. Eng. Data, vol. 34, no. 4, pp. 371–374, Oct. 1989.
Yousuf Abo Rahama received the B.Sc. degree (summa cum laude) in electrical engineering from the American University of Sharjah, Sharjah, United Arab Emirates, in 2017, where he is currently pursuing the M.Sc. degree in electrical engineering. His current research interests include microwave imaging, computational electromagnetics, wireless communications, and applied mathematics.
Omar Al Aryani received the B.Sc. degree in electrical engineering (with a minor in physics) from the American University of Sharjah, Sharjah, United Arab Emirates, in 2017. He is currently pursuing the M.Sc. degree in theoretical physics at McGill University, Montreal, QC, Canada. He has joined three research groups as an Undergraduate Researcher, where he conducted research in the development of a biomedical microwave imaging system, the investigation of the connection between gamma-ray bursts and supernovae, and the development of a time-dependent model of the upper atmosphere of Mars. He is also with the Cosmology and High Energy Theory Research Group, McGill University.
Uzma Ahmed Din received the B.Sc. degree in electrical engineering (with a minor in computer engineering) from the American University of Sharjah, Sharjah, United Arab Emirates, in 2017, where she is currently pursuing the M.Sc. degree in electrical engineering (with a specialization in control and robotics).
Mohammed Al Awar received the B.Sc. degree in electrical engineering (with a minor in computer engineering) from the American University of Sharjah, Sharjah, United Arab Emirates. He is currently working with the Dubai police. His previous academic research interests include microwave and communication systems. His current research interests include current scientific industrial revolution and its applications worldwide from IoT topics to artificial intelligence.
Amer Zakaria (S’05–M’13–SM’18) received the B.Sc. degree (summa cum laude) in electrical engineering from the American University of Sharjah (AUS), Sharjah, United Arab Emirates, in 2006, the M.Sc. degree in microwave engineering (Hons.) from the Technical University of Munich, Munich, Germany, in 2007, and the Ph.D. degree in electrical engineering from the University of Manitoba, Winnipeg, MB, Canada, in 2012. From 2012 to 2014, he was a Post-Doctoral Fellow with the Electromagnetic Imaging Laboratory, University of Manitoba. Since 2014, he has been with the Department of Electrical Engineering, AUS, where he is currently an Assistant Professor. He is also leading several research projects, including the development of microwave imaging systems for biomedical applications, the detection of cracks in conductors using nondestructive microwave evaluation and testing methods, and the material and channel characterization for mm-waves applications. His current research interests include biomedical imaging and applied electromagnetics. Dr. Zakaria is a member of the IEEE honorary society Eta Kappa Nu. He was a recipient of various awards and scholarships during his studies and fellowship. He is a Registered Professional Engineer with Engineers Geoscientists Manitoba, Canada.
Nasser Qaddoumi (S’96–M’97) received the B.Sc. degree in electrical engineering from United Arab Emirates University, Al Ain, United Arab Emirates, in 1988, and the M.Sc. and Ph.D. degrees from Colorado State University, Fort Collins, CO, USA, in 1993 and 1998, respectively. He was a Post-Doctoral Fellow with the Applied Microwave Nondestructive Testing Laboratory, Colorado State University, from 1998 to 1999. Since 1999, he has been with the Electrical Engineering Department, American University of Sharjah, Sharjah, United Arab Emirates, where he is currently a Full Professor and the Head of the department and also the Director of the Microwave Imaging and Nondestructive Evaluation Laboratory, College of Engineering. His current research interests include microwave theory, antenna theory and design, microwave nondestructive testing, biomedical applications of microwaves and ultrasound, material characterization, and microwave/RF circuit design and system development. He is an expert in acoustic wave propagation and analysis.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
An RF-Powered Crystal-Less Double-Mixing Receiver for Miniaturized Biomedical Implants Mengye Cai , Student Member, IEEE, Ziyu Wang, Yi Luo , Student Member, IEEE, and Shahriar Mirabbasi , Member, IEEE Abstract— In this paper, we present a low-power eventdriven receiver intended for miniaturized biomedical implants. The fully integrated system can operate by power harvesting from electromagnetic fields using a radio frequency-to-dc converter or through a 0.5-V voltage supply such as a rechargeable battery. Without any external component and calibration overhead, the proposed double-mixing receiver is operating at a frequency of 915-MHz industrial, scientific, and medical band and is robust to process, supply voltage, and body temperature variations. The proof-of-concept receiver is designed and implemented in a 0.13-µm CMOS technology. The system occupies a silicon area of 0.75 mm2 and consumes only 9.1-µW power while achieving a sensitivity of −48 and −39 dBm at the data rate of 1 and 100 kb/s, respectively. Index Terms— Amplitude-shift keying (ASK), biomedical implants, crystal-less, event-driven receiver, radio frequency (RF) powering, ultralow power, wireless communication.
I. I NTRODUCTION
I
N RECENT years, implantable medical devices (IMDs) have attracted a lot of attention, as they offer multiple benefits and possibilities including monitoring, diagnosing, and treating of health conditions of the patients [1]. Implantable telemetry systems face many design challenges including their power consumption, physical size, and biocompatibility. Due to the size constraints and limited available space in the human body, the implementation of any off-chip passive components or external bulky crystals should be avoided without compromising the system’s performance. Such miniaturized implants could effectively simplify surgery and reduce the risk due to transplant rejection. On the other hand, practical remote power supply techniques for IMDs have been researched extensively and most of the radio frequency (RF) powering systems used for biomedical implants due to the limited implant size have a relatively low power and low voltage capability [2]. Therefore, IMDs that rely on such energy scavenging techniques or rechargeable batteries must be power Manuscript received April 25, 2018; revised July 6, 2018 and July 25, 2018; accepted August 13, 2018. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada and in part by the Canadian Institutes of Health Research. (Corresponding author: Mengye Cai.) The authors are with the Department of Electrical and Computer Engineering, University of British Columbia, Vancouver, BC V6T 1Z4, Canada (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2868351
efficient and be able to operate from a low supply voltage for the desired term of operation. Wireless data transmission is one of the key tasks of IMDs that further avoids transcutaneous wiring and facilitate patient’s movements during the medical treatment or monitoring, minimizing the chance of infection. In contrast to free space wireless communication, the IMDs should consider in vivo loss such as tissue absorption at the frequency of operation. Transcutaneous power and data transfer are more efficient when the wireless link operates in the range of subgigahertz-to-low gigahertz frequencies [3]. Since at lower frequencies, the antenna size is larger, whereas at higher frequencies, tissue absorption and losses dominate due to the permittivity of human body [4]. Furthermore, given that the data rate requirement for biological sensory signals are relatively low (typically less than 100 kb/s), more emphasis usually places on the energy efficiency of the system. A typical implantable telemetry system commonly works in event-driven format, that is, they respond to ambient medical events and share information with physicians ideally with minimum latency. On the other hand, the communication range in most biomedical applications is typically from several centimeters up to a few meters between the IMDs and the external hub, so that the power-efficient modulation schemes such as amplitude-shift keying (ASK) can be used to facilitate low power requirement [5]. Recent low-power event-driven receiver structures are summarized in Fig. 1; they use the simple envelope detection principle to detect the RF signal while maintaining the high power efficiency. Nevertheless, the main drawbacks of the generic topology include the nonlinear conversion gain and excessive noise of the envelope detector circuit. An effective way to overcome these issues is to improve the amplitude of the signal by using a tunedRF architecture [5], as shown in Fig. 1(a). However, the front-end (active) amplifier at high frequency has high power consumption. In addition, as the desired signal after envelope detection resides around dc, it is vulnerable to circuit mismatch and dc offset, and thus an offset cancellation algorithm is needed that would increase the cost of the end solution. Several techniques for improving the gain at RF stages to amplify the received signal before it reaches envelope detector have been explored. The so-called super-regenerative receiver architecture [6], [7] is illustrated in Fig. 1(b) with its core oscillator resonating at the same frequency of the
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Fig. 1. State-of-the-art envelope-detector-based receiver. (a) TunedRF receiver. (b) Super-regenerative receiver. (c) Injection-locked receiver. (d) Uncertain-IF receiver. (e) Rectifier-based receiver.
RF input signal. This super-regenerative oscillator (SRO) is turned-ON and OFF periodically by another low-frequency quench signal, delivering a large front-end gain at low complexity. However, it has drawbacks of inherent frequency instability and the receiver’s performance is highly dependent on the characteristics of SRO. To address these issues, the injection-locked RF receiver structure [8], [9] of Fig. 1(c) has been presented. An injection-locked oscillator (ILO) is used to improve the phase noise performance and provide better frequency stability while simultaneously offering a large front-end gain. However, these benefits only exist within the locking range of ILO, so a calibration loop is needed to tune the frequency and keep it within the locking range of ILO. Most importantly, both SRO and ILO would require resonant oscillator using an inductor–capacitor (LC) tank, which usually lead to hundreds of microwatt power consumption. Alternatively, the signal amplification could be performed at lower intermediate frequency (IF) to get rid of the powerhungry RF amplification [10], [11]. However, these systems require an accurate reference clock that is usually an external crystal oscillator. In addition, in these systems, the crystal is continuously working with the receiver, and thus increasing the power consumption of the overall system. To avoid the off-chip bulky crystal, signal amplification at IF can be done by using a downconversion mixer and a noncrystal-based on-chip local oscillator (LO) [12]. As shown in Fig. 1(d), a power-efficient ring oscillator can be used for LO generation. However, the frequency drift of the always-on free-running oscillator is typically too large to operate within the desired band, and thus
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
a periodic offline frequency calibration is needed that raises the overall system cost. Recently, another alternative approach utilizes sub-1-μW rectifier-based receivers that avoid using power-hungry active RF amplification have been reported in [13] and [14], as shown in Fig. 1(e). However, they achieve their low power at the cost of larger area by using highquality factor off-chip matching network or transformer to realize a high passive voltage gain at RF. The PLL-based receiver developed in [15] offers both data recovery and frequency-shift keying modulated carrier synthesis without using a crystal-based resonator. However, its relatively high power consumption makes it unsuitable for IMD applications. Another implantable radio exploited in [16] use ASK modulated data with the Manchester encoding which has no important distribution at dc in its spectrum. Hence, the large dc component generated by self-mixing envelope detector could not mask any desired receiving signal. However, a correlated complex demodulation mechanism would increase the cost and system latency. In this paper, we propose a crystal-less double-mixing receiver structure to address the above-mentioned design challenges. Without any external component and calibration overhead, the proposed receiver is robust to process, supply voltage, and body temperature (PVT) variations, operating in the unlicensed industrial, scientific, and medical (ISM) band ranging from 902 to 928 MHz which is within the frequencies that the body exhibits relatively low attenuation. The receiver could be powered wirelessly using the electromagnetic (EM) propagation method which has longer operation distance and better orientation immunity as compared to the resonance and inductive links [17], [18], or via the power supply such as an implantable rechargeable battery that is better than a super charge reservoir capacitor, due to the high leakage current of such capacitors in CMOS process [19], [20]. Meanwhile, custom ASK modulation is adopted in the proposed event-driven receiver. The rest of this paper is organized as follows. Section II presents the system-level analysis of the proposed receiver architecture. Circuit design and implementation details are described in Section III. Measurement results and comparison with the state-of-the-art designs are provided in Section IV. Finally, the conclusion is given in Section V. II. S YSTEM OVERVIEW In this section, the system-level theoretical analysis regarding feasibility and reliability of the wireless data and power link between implants and external hub are discussed. We will then present design specifications for improved tradeoff between power efficiency and system performance of the proposed receiver. A. Reliability and Link Budget Analysis The wireless communication and EM propagation inside and around human body should take the properties of the transmission media into account. Human skin and tissues with different permittivities would cause reflection and absorption of the EM waves that adversely affect the amount of power received by IMDs [21]. The traditional method to
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAI et al.: RF-POWERED CRYSTAL-LESS DOUBLE-MIXING RECEIVER
Fig. 2.
3
General application scenario of medical implants.
overcome such problem is to increase the radiating power from the external transmission hub. However, the effective isotropic radiated power (EIRP) in ISM band is limited to below 4 W due to rules and regulations issued by Federal Communications Commission (FCC), Washington, DC, USA. Furthermore, FCC has restrictions on the maximum incident power on human body to prevent biological tissue damage. The corresponding limit for exposure to an intentional radiator operating at 915-MHz band is maximum permissible exposure of 6 W/m2 [22]. The relationship between power flux density at distance d from radiation source-to-human body interface is described in (1). Thus, the minimum distance between the external transmitter hub and human body is calculated at 23 cm. On the other hand, the loop or coil antenna structures are usually preferred for implementation inside the human body due to their compact geometry, which is normally in the range of millimeter scale [3], [19] {Sd } =
EIRP ≤ 6 W/m2 . 4 × π × d2
(1)
To avoid cost over design of the radio in IMDs, the toplevel link budget analysis is essential in order to offer a better tradeoff among feasibility, power efficiency, and system performance. The study of the link budget starts with path loss estimation on the communication channel in and around the human body. Usually, IMDs are within a 5 cm depth under human skin, assuming that the external hub is about 2-m distance away from the skin, as shown in Fig. 2. For this link, the total radio path loss is calculated utilizing Friis transmission formula (2), where λ is the carrier wavelength in medium, d is the transmission distance, G R and G T are the gain of the receiver and transmitter antennas, PR and PT are the received and transmitted powers PR /PT = G R G T
λ 4πd
2 .
(2)
The attenuation in air and body are the two major terms composing the path loss; thus, they are analyzed separately due to a different propagation medium through (3). c0 is the speed of light in free space, f is the transmission frequency and we are considering it to be 915 MHz, relative permeability μr is assumed to be 1 in both cases for simplicity, whereas relative permittivity εr which describes the dielectric conductivity of human body environment can be up to 74.3 [23]. Thus, the power loss in body is much higher as compared to that of
Fig. 3.
Block diagram of the prototyped receiver.
air where εr is approximately 1 λ = c/ f =
f ×
c0 . √ μr r
(3)
For simplicity, the receiver/transmitter antenna gains (G R and G T ) are set to 0 dB (unity gain), and the influence of the matching network is ignored. From (2), the path loss in the air is 37.75 dB when d is 2 m. On the other hand, the attenuation in human body with 5-cm implant depth can be calculated from (2) and (3) to be about 24.42 dB. Furthermore, the wave impedance is influenced by air–skin interface that brings an extra power loss of about 3.88 dB [24]. The total attenuation in the transmission channel is estimated to be 66.05 dB, so the maximum received power PR can be calculated from the following equation: PR = PEIRP − Ppath + G R .
(4)
The maximum EIRP is restricted to below 30 dBm; thus, the maximum received power is calculated at −36.05 dBm. In order to recover the transmitted information successfully, the maximum received power should be higher than the sensitivity of the receiver. For the generic implantable application scenario described in Fig. 2, the requirement on sensitivity of the receiver should be lower than −36.05 dBm. B. Proposed Crystal-Less Double-Mixing Architecture The complete block diagram of the proposed receiver is illustrated in Fig. 3, comprising an RF-to-dc converter and a double-mixing receiver. The RF-to-dc converter serves as the power source of the receiver that includes a matching network and a rectifier. The receiver core consists of an envelope detector, an IF bandpass amplifier, input and output mixers, an on-chip sampling clock, and a delay cell. The input RF signal is expressed in (5), where A0 is the amplitude of the carrier, ωRF is the angular frequency, and ϕ is the phase of the input signal RF in = A0 cos(ωRF t + ϕ).
(5)
The on-chip clock generates a 50% duty-cycle square wave alternating between 1 and 0 at clock frequency ωCLK , and the first three major harmonics of the clock signal in the Fourier
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
expansion are taken into account as described in the following equation: Vclk =
1 2 2 + cosωclk t − cos3ωclk t + · · · . 2 π 3π
(6)
Assuming the voltage conversion gain of the first mixer is G 1 so that its output can be written as follows: π A0 G 1 cos(ωRF t + ϕ) 2 + A0 G 1 cos[(ωRF ± ωclk )t + ϕ]. (7)
Vm1_o = G 1 × RF in × Vclk =
The output current of the envelope detector is a nonlinear function which is also dependent on the input signal and can be written as follows: Ienv_out = Io +
I0 I0 2 Vm1_o + Vm1_o + ··· . nVT 2(nVT )2
(8)
During envelope detection, the input signal components at different frequencies are downconverted to baseband by the square function. The generated desired signal resides at clock frequency; meanwhile, we also have dc component and twice of the clock frequency signal at the output. If we define k as a voltage scaling factor, the expression for the output of the envelope detector is given by the following equation: Venv_out =
A20 G 21 π 2 k
1 1 1 1 + + cos ωCLK t + 2 cos 2ωCLK t . π2 8 π π (9)
Note that the output of the envelope detector does not depend on the phase of the RF input signal ϕ. Next, the signal spectral content around the clock frequency is selected by IF bandpass amplifier, and the output signal could be written as (10), where ABB is the voltage gain of the IF amplifier, and the extra phase delay due to the IF amplifier stage is denoted as ϕ . This phase shift can be estimated by the expression that is given in (11), where ωIF and ω−3 dB are the center frequency and the bandwidth of the IF bandpass amplifier, respectively. For simplicity, the phase delays introduced by the input mixer and envelope detector are neglected, since the bandwidth of these blocks is typically much larger than that of the IF bandpass amplifier VBB_out = πk ABB A20 G 21 cos (ωclk t + ϕ ) ω ωcen ωcen − . ϕ = tan−1 ω−3 dB ω ωcen
(10) (11)
The IF bandpass amplifier output is then mixed with the two clock signals, CLK + and CLK − that are generated by the delay cell and are nonoverlapping clocks with 25% duty cycle. The clock signals are derived from the LO signal; thus, the clock signals track the master LO and any uncertainty in the master LO is also observed by the clock signals. On the other hand, the phase delay, denoted as ϕ , is introduced by the delay cell circuit. It is optimized in the design to compensate for the phase delay of the IF bandpass amplifier, namely, ϕ . The clock signal is toggling between 1 and −1 that
is generated for the second mixer expressed in the following equation: 4 4 cos(3ωclk t + ϕ ) + · · · . Vclk = 1 + cos(ωclk t + ϕ ) − π 3π (12) Assuming the voltage conversion gain of the second mixer is G 2 , the output of the mixer can be written as (13). Note that only the desired signal at clock frequency is downconverted to baseband, whereas the low-frequency noise and dc offset are upconverted to clock frequency, which is suppressed by the subsequently low-pass filter. The output amplitude, to some extent, is affected by the relative phase discrepancy (ϕ − ϕ ) due to PVT variations. Nevertheless, the proposed receiver is still robust to clock uncertainty and is suitable for single-chip implementation 2
Vm2_o = G 2 × VBB_out × Vclk = kπ 2 ABB A0 G 21 G 2 2 2 cos(ϕ − ϕ ) + cos (ωclk t + ϕ ) + cos × π π 2 cos (2ωclk t + ϕ −ϕ ) × (2ωclk t + ϕ +ϕ )− 3π 2 cos(4ωclk t + ϕ + ϕ ) − 3π LPF
−→ 2kπ ABB A20 G 21 G 2 cos (ϕ − ϕ ).
(13)
To sum up, the receiver could be considered as a doublemixing topology, consisting of input, output mixing, and onetime self-mixing in the envelope detector, eliminating the need for the crystal oscillator and any calibration overhead. The proposed receiver holds advantages over other state-of-the-art designs. First, the requirements on the frequency accuracy, stability, and jitter of the on-chip LO are significantly relaxed. Second, the design is resilient to the unwanted dc components generated by the self-mixing behavior of the envelope detector. In addition, the low-frequency noise and dc offsets could not distort the received information because the desired signal resides at the clock frequency. Third, there is no special requirement on internal demodulation and external modulation to ensure a simple topology and reduce cost without any latency. Finally, the signal amplification is performed at IF rather than RF frequency, which also conserves power consumption. C. Requirements on Sampling Clock Among different topologies for generating clock, CMOS ring oscillators are low power and low cost (i.e., require low amount of area) as compared to their LC counterparts, however, due to their high sensitivity to PVT variations, low-frequency stability, and poor phase noise, an off-chip crystal is often used as the reference clock. The proposed receiver overcomes these issues at architecture level by employing double-mixing receiver structure to relax frequency accuracy and stability requirement. Nevertheless, explicit analysis and simulation are necessary for the free-running on-chip clock to accommodate the large frequency variations in CMOS process. The choice of sampling clock frequency depends on the data rate and low-frequency noise which may range above
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAI et al.: RF-POWERED CRYSTAL-LESS DOUBLE-MIXING RECEIVER
5
Fig. 6. Fig. 4. (a) Clock frequency change due to PVT variations. (b) Monte Carlo simulation results.
Schematic of matching network and voltage rectifier.
III. C IRCUIT I MPLEMENTATION In this section, the circuit design of main building blocks of the proposed receiver is presented. A. RF-to-DC Converter
Fig. 5.
Double-mixing operating method in frequency domain.
1 MHz in modern sub-micrometer transistors. To be specific, the clock frequency in typical designs could not be arbitrarily low since reliable operation need to be guaranteed in worst case scenario. Thus, a worst case 5-MHz clock frequency is chosen in this prototype to provide a better tradeoff and offer enough design margin (5× higher than 1 MHz) in order to cover the full range of variation possibilities. To be specific, such implantable system would be able to handle ±10% power supply variation, slow-to-fast process corner variations and human body temperature change which is normally between 32 °C and 42 °C, as shown in Fig. 4(a), the variations of clock frequency change from 5 to 10 MHz. Monte Carlo simulations are performed to verify the robustness of generated clock signal. Fig. 4(b) shows the simulation results where the mean value of clock frequency is 7.2 MHz with the standard deviation of 1.24 MHz at 36.5 °C human body temperature. Fig. 5 shows the behavior of the proposed receiver in frequency domain. First, the input signal is filtered by a narrowband matching network to remove images and interferences. Then, it is mixed with the clock generated on chip whose frequency is varying between 5 and 9.5 MHz in the first mixer. The desired signal is then downconverted by the envelope detector to IF within an uncertain range when considering the PVT variations. Then, the output signal of envelope detector is amplified at the IF frequency by an IF bandpass amplifier. Finally, the second clock signal derived from the master LO performs the final downconversion to dc using the output mixer, and the undesired signals are filtered out by a low-pass filter.
The purpose of RF-to-dc converter is to provide a stable dc voltage from the incident RF waves and utilize the retrieved voltage as power supply of the receiver [25]. Fig. 6 shows the schematic of the RF-to-dc converter. A transformer-based matching network is co-designed with the two-stage rectifier. The primary winding of the transformer is connected in series with CS , and the secondary winding is connected to the differential input of the rectifier. The equivalent input capacitance of the rectifier and the equivalent inductance of the transformer winding forms a parallel LC tank; therefore, the voltage across the input is boosted at resonance. The primary and secondary windings are designed and laid out in an interleaved formation for better coupling coefficient. The turn ratio between two windings in the on-chip step-up transformer is 3:9 to provide passive voltage amplification and hence increase the available voltage when input power is low. The CMOS rectifier is based on the differential self-threshold voltage (Vth ) cancellation structure [26] designed to provide higher power conversion efficiency at low input power levels. Transistors M1–M8 operate as switches in rectifier, and the gates of the MOS devices are connected through the capacitor to one end of the input terminal. When the voltage at the gate terminals of the MOS devices becomes positive, the nMOS devices are turned-ON. Conversely, when the input voltage becomes negative, the pMOS devices are turned-ON. Employing more stages of rectifier would be favorable for larger output voltage generation, but it will inevitably deteriorate the power efficiency of the system. The rectifier is designed to supply a 500-mV output dc voltage (Vdc ). Depending on the application, Vdc can be further increased if the output supply current is limited to a lower level. B. On-Chip Sampling Clock and Delay Cell Traditionally, the basic ring oscillator uses an odd number of inverters connected in a chain that satisfies Barkhausen criteria to sustain oscillation. The oscillating frequency depends on the delay time between each inverter stage, which could be controlled by the branch current to improve the frequency
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 7.
Schematic of clock signal generation. Fig. 9.
Schematic of the input mixer. TABLE I T RANSFORMER AND I NDUCTOR PARAMETERS
Fig. 8.
Block diagram of the delay cell.
stability and power efficiency. For this application, the on-chip free-running LO is generated by a current-starved ring oscillator, as shown in Fig. 7, where transistors M1 –M6 form a three-stage CMOS inverter chain and transistors M7 –M9 and M10 –M12 operate as current sources. The oscillation frequency is determined by (14), where ID is the bias current in each inverter stage, N is the number of stages, and VDD is the supply voltage. Ctot is the total capacitance seen at the output of each inverter stage as written in (15), Cox is the gate oxide capacitance per unit area, Wn and L n and Wp and L p represent the width and length of the nMOS and pMOS transistors, respectively. The pulsewidth shaping circuit consists of a D-flip-flop and LO buffers. To be specific, the clock division is performed by a D-flip-flop, which reduces the jitter and provides a 50% duty-cycle clock signal. The delay cell is used to generate the clock signal for the input and output mixer blocks f osc =
ID NCtot VDD
(14)
3 Ctot = Cox (Wn L n + Wp L p ) + Cox (Wn L n + Wp L p ). (15) 2 As illustrated in Fig. 8, the logic circuits including the inverters and NOR gates are used to generate clock signals CLK + and CLK − for the output mixer. These signals are delayed and buffered by a chain of current-starved inverters. The delay time is designed and optimized to compensate the phase delay in IF bandpass amplifier. On the other hand, the CLK_B signal is acquired through a three-stage inverter buffer to drive the input mixer. C. Input Mixer The input mixer performs mixing between input RF signal and clock signal. Fig. 9 illustrates a single-ended dual-gate
mixer, which is driven by the CLK_B on the gate of transistor M2 . This clock signal is directly coupled to the output through gate and drain capacitance (Cgd ) of M2 , thus distort the output signal. By employing the LC load resonant tank to enhance the frequency selectivity property, the low-frequency clock feedthrough signal at the output node is filtered out before arriving at the input of the envelope detector. As M2 is driven by the rail-to-rail clock signal, it could be modeled as an ON–OFF switch that is modulating the drain current of transistor M1 . The input RF signal is applied to the gate of M1 through the matching network, while the dc bias voltage is applied to the gate via an on-chip resistor. The voltage conversion gain G 1 of the first mixer can be calculated using the Fourier series in (16), where gm1 is the transconductance of transistor M1 , RP is the equivalent load resistance at resonance that is determined by the resonant frequency ωRF , inductor value L, and its quality factor Q L . A series spiral inductor is optimized for maximum L × Q L to reduce gm1 , which would further conserve power of the input mixer. To be specific, the on-chip inductor that has a higher inductance per unit area is chosen to resonate with parasitic capacitance in order to improve the overall quality factor of the resonant load. The design parameters of inductor L and the transformer used in matching network are summarized in Table I 1 1 (16) G 1 = gm1 Rp = gm1 ωRF L×Q L . π π D. Envelope Detector The envelope detector circuit shown in Fig. 10 is based on a common-source topology with an RC load, where transistor M is biased in weak inversion region to maximize the secondorder nonlinearity. The output signal of the input mixer is
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAI et al.: RF-POWERED CRYSTAL-LESS DOUBLE-MIXING RECEIVER
Fig. 10.
Fig. 11.
7
Schematic of envelope detector.
Fig. 12.
Postsimulated IF bandpass amplifier frequency response.
Fig. 13.
Schematic of the output mixer.
Schematic of the IF bandpass amplifier.
squared, and the resulting signal at high frequencies is suppressed by the load with low-pass filter. Therefore, the desired signal at the output of the envelope detector resides at the clock frequency instead of dc. The low-frequency noise and dc components can be removed by adding an ac coupling capacitor between the envelope detector and the IF bandpass amplifier. Scaling factor or voltage conversion gain of the envelope detector k is the function of the input signal magnitude given in (17), where gm is the transconductance of the transistor M, n is the process-dependent subthreshold slope factor which typically is 1.5 in a 0.13-μm CMOS process, and VT is the thermal voltage (26 mV at room temperature) k=
Vin × g m RD . 4nVT
(17)
E. IF Bandpass Amplifier As clarified in the architecture-level discussion, the active amplification stages must provide adequate gain for the output of envelope detector across the frequency range of the on-chip free-running clock. Therefore, the IF bandpass amplifier is adopted to amplify and further suppress the undesired signals prior to being processed by the output mixer. It consists of input and output amplifiers and the circuit configuration is shown in Fig. 11. The input amplifier converts its single-ended input signal into a differential output. It is realized by differential input nMOS transistors M1 and M2 operating in the weak-inversion region in order to attain high transconductance efficiency. The load resistance RL contributes less noise as compared to using a current-source load. The output amplifier
utilizes a fully differential two-stage topology with its input transistors M4 and M5 directly connected to the differential output of the input amplifier. Transistors M8 and M9 form the current-source load for the first stage and the second stage is implemented by a push–pull structure to ensure a rail-to-rail output swing. The IF bandpass amplifier is operated in an open-loop fashion instead of a closed-loop configuration to conserve power and reduce the cost. In addition, frequency compensation is not necessary for differential operation mode. However, a common-mode feedback block is critical to make sure the output common-mode voltage at the half level of the power supply in order to facilitate the largest possible output swing. Furthermore, the source degenerated resistor Rs is used to provide a better control over the gain of the output stage determined by the system-level analysis and simulations. On the other hand, the ac coupling capacitor Cc at the input of the amplifier rolls off the IF gain close to dc, resulting in a bandpass frequency response. This technique also helps in preventing voltage offsets accumulated through IF amplifier stages. The simulated transfer function of the complete IF bandpass amplifier is shown in Fig. 12 with parasitic extraction from the final layout. The −3-dB bandwidth is from 1.1 to 10 MHz, with the peak voltage gain at around 34 dB. F. Output Mixer The output mixer is utilized to downconvert the desired signal at the output of the IF bandpass amplifier. The schematic
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
is illustrated in Fig. 13. It is a double-balanced passive mixer that effectively reduces the LO to dc feedthrough and chargeinjection effects of MOS switches [27]. Compared with its active counterpart, the passive mixer does not contribute any low-frequency noise because there is no dc current flowing inside; therefore, it would be a suitable choice for the second mixer as the noise contribution at this stage will directly appear at the output of the receiver and affects the noise performance of the system. Transistors M1−4 form four switches driven by clock signals CLK + and CLK −. The output signal is held on the output capacitor CLP with the bandwidth being limited to 400 kHz. The voltage conversion gain G 2 is given as 2/π. Capacitor Ci is used at the input to absorb the charge injection when MOS transistors are switched ON and OFF, thus stabilizing the common-mode voltage at input node. Note that all the dc bias voltages used in the abovementioned blocks are provided by the biasing circuit through a high impedance resistor. With the circuit topologies and design parameters established earlier, the input–output relationship could be rewritten as follows: 2 R D R 2P Vin D/nπ 3 VT . Vout /Vin = A20 ABB gm gm1
Fig. 14.
Chip microphotograph.
(18)
The signal loss is proportional to the phase mismatch as captured by D = cos(ϕ − ϕ ). The reference line is designed under typical corner at nominal human body temperature of 36.5 °C, so that the relative delay discrepancy between the receiver chain and the delay cell can be quantified by elaborate simulations. First, the discrepancy varies from 1.2 to 8.4 ns for different process corners. For example, the maximum phase difference of clock with respect to typical corner is 16.6°. Then, simulations under human body temperature range from 32 °C to 42 °C are performed, and the delay variation is between −0.68 ns at 32 °C and +0.54 ns at 42 °C with reference to the nominal delay at 36.5 °C, resulting in the equivalent worst case phase discrepancy of 2.2°. Finally, the variation caused by ±10% power supply is evaluated as well, resulting in a maximum phase discrepancy of 10.7°. Therefore, the worst case signal loss (PVT ) and correspondingly signal-to-noise degradation as calculated in (19) is −1.2 dB. This implies that the proposed receiver is robust and is tolerant to PVT variations even without any calibration PVT = −20 log[cos(16.6° + 2.2° + 10.7°)] = −1.2 dB. (19) IV. M EASUREMENT R ESULTS AND D ISCUSSION As a proof of concept, the proposed receiver is designed and fabricated in a 0.13-μm CMOS process, and the die is packaged inside a 44-pin surface-mounted ceramic quad flat package for measurement purpose. The die micrograph is shown in Fig. 14. The active circuit area excluding the pads is about 0.75 mm2 (1 mm × 750 μm). The receiver operates in the ISM band of 908–928 MHz without any external components and all the employed inductors are implemented on chip. The measurement results of the main building blocks are illustrated and discussed in the following.
Fig. 15. (a) Measured transient waveform of clock signal. (b) Measured frequency spectrum of clock signal.
A standalone clock generation block is included on the chip for the purpose of characterization. The test clock signal CLK_B is connected to a Rohde & Schwarz RTO1044 realtime oscilloscope through an RT-ZS30 single-ended active probe. The active probe is used to minimize the loading effects of the measurement equipment. The measured clock signal is shown in Fig. 15(a) and has a peak-to-peak swing (VPP ) of 500 mV at room temperature (25 °C), and the nominal clock period T is 180 ns. The frequency spectrum of the clock signal is illustrated in Fig. 15(b), where the nominal oscillation frequency of the clock is 5.56 MHz with the power level of −1.8 dBm. In order to verify the process deviation, five different die samples are tested and each sample is measured while its ambient temperature was changing between 32 °C and 42 °C that covers a reasonable temperature range for the human body. The ambient temperature is monitored and controlled by a Signatone Digital Hot Chuck Model S-1045. As shown in Fig. 16(a), over the temperature range of interest, the clock frequency changes within the range of 6 and 7.2 MHz for all the five chip samples. Since the receiver is intended to implant inside of the patient’s body, a long-term transient stability is
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAI et al.: RF-POWERED CRYSTAL-LESS DOUBLE-MIXING RECEIVER
9
Fig. 18. (a) Input and output data waveform of RF-powered receiver. (b) Rectified dc signal settling procedure.
Fig. 16. (a) Clock frequency drifts with temperature change. (b) Clock frequency stability features over 30 days.
Fig. 17.
Input reflection coefficient (S11 ).
another critical property of the proposed receiver. To quantify its long-term operation, the frequency of the on-chip clock was measured over a 1 month period while the environmental temperature was kept around 36.5 °C (nominal human body temperature). Fig. 16(b) illustrates that the measured frequency varies between 6.1 and 7.06 MHz over the 30 day continuous monitoring period for five different samples. The measured clock frequency variation range for both short- and long-term operations is well within the bandwidth of IF bandpass amplifier. An Agilent (Keysight) E5061B network analyzer is used to characterize the input node of the receiver. As shown in Fig. 17, center frequency of the matching network is around 915 MHz, and the input reflection coefficient (S11 ) over the frequency range of 902–928 MHz is varying between −16 and −19.3 dB, covering the desired band without any external matching components. For all the sample chips used, the variations of the input matching would not affect the
receiver measurement accuracy. On the other hand, the input carrier signal is generated from the Agilent (Keysight) 8648D signal source modulated by an Agilent (Keysight) 33250A arbitrary waveform generator. This setup facilitates generating test signals with different modulation data for the proposed receiver. The measurement is performed in two different scenarios to characterize the RF power harvesting and the performance of receiver. The receiver is able to achieve the data rate that is scalable from 1 Hz to 100 kHz in both cases. Such data rates can accommodate transferring various kinds of biosignals. In the first scenario, a −10 dBm available input power is applied to the RF-to-dc converter and it generates an output voltage of 0.5 V for the rest of the circuit. In order to generate this voltage level, the RF-to-dc converter requires a voltage swing of at least 0.9 VPP at its input. Fig. 18(a) shows an RF signal encoded with 1 and 0 on 915-MHz carrier frequency with 10% modulation index received at the input of receiver. The receiver output (i.e., the output of the second mixer) is acquired by using an RT-ZD40 differential active probe at the voltage level of 61 mV. In addition, the output of the RF-to-dc converter is captured by the RTO1044 oscilloscope after the receiver starts to receive the RF signal. With a 120-pF on-chip metal–insulator–metal decoupling capacitor, the settling time of the output dc voltage is measured at 22.1 μs, and the ripple is about 3.13 mV, as shown in Fig. 18(b). In the second test scenario, a 0.5-V power supply is applied, and the RF-to-dc converter is disabled. The power breakdown of the proposed receiver is presented in Table II. The total power consumption of the proposed receiver is 9.1 μW. The IF bandpass amplifier consumes 5.1 μW, about 56% of the total power. The first mixer consumes around 2 μW, whereas the second mixer is a passive mixer and does not consume any power. The on-chip clock and its delay cell circuit including buffers consume 0.6 μW of power and the envelope detector and bias circuit account for the remaining 1.4 μW. Table III summarizes the overall performance of the proposed receiver and compares it to the state-of-the-art published
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II P OWER B REAKDOWN OF P ROPOSED R ECEIVER
TABLE III P ERFORMANCE S UMMARY AND C OMPARISONS
Fig. 19.
Output signal level and noise PSD of the receiver.
Fig. 20. Measured output SNR with respect to input power on different data rate.
low-power receivers. All the presented data are measured results and only sub-100-μW receivers are considered for comparison. When the proposed receiver is powered by the RF-to-dc converter, the functionality range is limited by the rectifier as it requires a minimum voltage swing to be able to generate the intended power supply. Nevertheless, when the receiver is powered by a 0.5-V voltage supply and a −30-dBm ON–OFF keying (OOK)-modulated signal is given at the input, the output signal power and the output noise power spectral density (PSD) with respect to the modulation rate are shown in Fig. 19. Both output signal and noise spectrum are flat when baseband frequency varying between 1 Hz and 100 kHz. The noise PSD is integrated within the twice of the signal bandwidth and is compared with the signal power level in order to calculate the signal-to-noise ratio (SNR) of the receiver. Fig. 20 illustrates the measured output SNR as a function of the input power with different data rate settings. An output SNR of higher than 12 dB is required for demodulation of an OOK-modulated signal that could achieve a bit error rate (BER) lower than 10−3 [28]. At the data rate of 100 kb/s, the receiver achieves the sensitivity
of −39 dBm. If the data rate is reduced to 10 and 1 kb/s, the sensitivity improves by 4 and 9 dB achieving −43 and −48 dBm, respectively. Such sensitivities compare favorably with other works and satisfy the requirements discussed in Section II for short range in vivo wireless communication. The entire system is monitored over a time span of 30 day continuous operating time on the five different samples at an ambient temperature that is equal to that of the nominal human body temperature. During the tests, we did not witness any disturbances, interruptions of operation, or faultiness in the output signal that also confirm the long-term stability property of the proposed receiver. Note that the proposed receiver could work correctly even if the supply voltage goes as low as 0.44 V. In addition, the system is also immune to clock jitter; the measured peak-to-peak jitter of the clock signal in Fig. 15 is about 19 ns, 10.56% of the clock period. The measurement results confirm that this jitter has a negligible impact on the operation of the proposed receiver, which further proves the architecture’s robustness and tolerance to the variations of the clock frequency. For the sake of comparison, the output signal is also calculated using (18) at an output power level of −11.3 dBm with all the parameters provided from postlayout simulation results, which is 1.3 dBm higher than the achieved measurement results at output. Nevertheless, the simulation results are very close to the measurements.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAI et al.: RF-POWERED CRYSTAL-LESS DOUBLE-MIXING RECEIVER
11
BC, Canada, for their technical support with the CAD tools and measurements. CAD tool support and access to technology are facilitated by CMC Microsystems. R EFERENCES
Fig. 21.
Experimental setup for the RF wireless link.
V. C ONCLUSION In this paper, we present a fully integrated ultralow-power event-driven receiver optimized for biomedical implants. The requirements and features of biomedical implants are discussed. A crystal-less double-mixing architecture is proposed to simplify the system structure, reduce power consumption, and overcome PVT variations. The proposed receiver can tolerate the frequency instability of the on-chip clock generator that is addressed at the system level. Moreover, the receiver does not require complex modulation scheme while maintain simplicity and low latency without any external components or calibration overhead. Furthermore, the system can operate by RF power harvesting using an integrated RF-to-dc converter or via an alternative power source (e.g., a rechargeable battery) to accommodate different biomedical application scenarios. Consequently, the receiver shows a significant potential for the implementation in miniaturized IMDs. A PPENDIX An experimental setup is shown in Fig. 21; it is used to further verify the function of RF wireless link. In this setup, the discrete transmit antenna is connected to a Rohde&Schwarz SMB-100A signal generator in order to deliver an OOKmodulated signal to the receiver wirelessly. The receiving antenna is surrounded by a piece of 5-cm-thick pork meat which includes skin and fat tissue. The distance between the SMB and the receiving antenna is 1.7 m, and a successful wireless data link is established with the data rate of 100 kb/s on the 915-MHz carrier with a BER of lower than 10−3 . To test the wireless power harvesting feature of the circuit, the transmitter is moved closer to about 0.6 m away from the receiving antenna to establish the wireless power link from an RF signal that is in compliance with the FCC rules. ACKNOWLEDGMENT The authors would like to thank R. Mehrabadi and Dr. R. Rosales from the Department of Electrical and Computer Engineering, University of British Columbia, Vancouver,
[1] J. Gil et al., “A fully integrated low-power high-coexistence 2.4-GHz ZigBee transceiver for biomedical and healthcare applications,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 1879–1889, Sep. 2014. [2] M. Cai, K. Fricke, and R. Sobot, “Embedded RF switch for implantable telemetry systems designed in 130nm CMOS,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), Montreal, QC, Canada, May 2016, pp. 2735–2738. [3] A. S. Y. Poon, S. O’Driscoll, and T. H. Meng, “Optimal frequency for wireless power transmission into dispersive tissue,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1739–1750, May 2010. [4] D. Ahn and M. Ghovanloo, “Optimal design of wireless power transmission links for millimeter-sized biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 10, no. 1, pp. 125–137, Feb. 2016. [5] M. Cai, R. Sobot, and S. Mirabbasi, “A 32-μW programmable crystalless event-driven receiver for miniaturized biomedical implants,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), Florence, Italy, May 2018, pp. 1–4. [6] P. E. Thoppay, C. Dehollain, M. M. Green, and M. J. Declercq, “A 0.24-nJ/bit super-regenerative pulsed UWB receiver in 0.18-μm CMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 11, pp. 2623–2634, Nov. 2011. [7] C. Ma, C. Hu, J. Cheng, L. Xia, and P. Y. Chiang, “A nearthreshold, 0.16 nJ/b OOK-transmitter with 0.18 nJ/b noise-cancelling super-regenerative receiver for the medical implant communications service,” IEEE Trans. Biomed. Circuits Syst., vol. 7, no. 6, pp. 841–850, Dec. 2013. [8] M. Zgaren and M. Sawan, “A low-power dual-injection-locked RF receiver with FSK-to-OOK conversion for biomedical implants,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 11, pp. 2748–2758, Nov. 2015. [9] J. Pandey, J. Shi, and B. Otis, “A 120μW MICS/ISM-band FSK receiver with a 44μW low-power mode based on injection-locking and 9x frequency multiplication,” in IEEE ISSCC Dig. Tech. Papers, San Francisco, CA, USA, Feb. 2011, pp. 460–462. [10] X. Huang, S. Rampu, X. Wang, G. Dolmans, and H. de Groot, “A 2.4 GHz/915MHz 51μW wake-up receiver with offset and noise suppression,” in IEEE ISSCC Dig. Tech. Papers, San Francisco, CA, USA, Feb. 2010, pp. 222–223. [11] S. Moazzeni, M. Sawan, and G. E. R. Cowan, “An ultra-low-power energy-efficient dual-mode wake-up receiver,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 2, pp. 517–526, Feb. 2015. [12] N. M. Pletcher, S. Gambini, and J. Rabaey, “A 52μW wake-up receiver with −72 dBm sensitivity using an uncertain-IF architecture,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 269–280, Jan. 2009. [13] H. Jiang et al., “A 4.5nW wake-up radio with −69dBm sensitivity,” in IEEE ISSCC Dig. Tech. Papers, San Francisco, CA, USA, Feb. 2017, pp. 416–417. [14] K. R. Sadagopan, J. Kang, S. Jain, Y. Ramadass, and A. Natarajan, “A 365nW −61.5 dBm sensitivity, 1.875 cm2 2.4 GHz wake-up receiver with rectifier-antenna co-design for passive gain,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), Honolulu, HI, USA, Jun. 2017, pp. 180–183. [15] G. Papotto, F. Carrara, A. Finocchiaro, and G. Palmisano, “A 90-nm CMOS 5-Mbps crystal-less RF-powered transceiver for wireless sensor network nodes,” IEEE J. Solid-State Circuits, vol. 49, no. 2, pp. 335–346, Feb. 2014. [16] Y. Rajavi, M. Taghivand, K. Aggarwal, A. Ma, and A. S. Y. Poon, “An RF-powered FDD radio for neural microimplants,” IEEE J. SolidState Circuits, vol. 52, no. 5, pp. 1221–1229, May 2017. [17] A. K. RamRakhyani, S. Mirabbasi, and M. Chiao, “Design and optimization of resonance-based efficient wireless power delivery systems for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 1, pp. 48–63, Feb. 2011. [18] H.-C. Chen, M.-Y. Yen, Q.-X. Wu, K.-J. Chang, and L.-M. Wang, “Batteryless transceiver prototype for medical implant in 0.18-μm CMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 137–147, Jan. 2014.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
[19] M. H. Ghaed, S. Skrzyniarz, D. Blaauw, and D. Sylvester, “A 1.6nJ/bit, 19.9μA peak current fully integrated 2.5mm2 inductive transceiver for volume-constrained microsystems,” in Proc. IEEE Custom Integr. Circuits Conf. (CICC), San Jose, CA, USA, Sep. 2014, pp. 1–4. [20] H. Bhamra et al., “A 24μW, batteryless, crystal-free, multinode synchronized SoC ‘bionode’ for wireless prosthesis control,” IEEE J. Solid-State Circuits, vol. 50, no. 11, pp. 2714–2727, Nov. 2015. [21] R. A. Bercich, D. R. Duffy, and P. P. Irazoqui, “Far-field RF powering of implantable devices: Safety considerations,” IEEE Trans. Biomed. Eng., vol. 60, no. 8, pp. 2107–2112, Aug. 2013. [22] C. Liu, Y.-X. Guo, H. Sun, and S. Xiao, “Design and safety considerations of an implantable rectenna for far-field wireless power transfer,” IEEE Trans. Antennas Propag., vol. 62, no. 11, pp. 5798–5806, Nov. 2014. [23] M.-A. Golombeck, C. H. Riedel, and O. Dössel, “Calculation of the dielectric properties of biological tissue using simple models of cell patches,” Biomedizinische Technik/Biomed. Eng., vol. 47, no. s1a, pp. 253–256, 2002. [24] J. Walk, J. Weber, C. Soell, R. Weigel, G. Fischer, and T. Ussmueller, “Remote powered medical implants for telemonitoring,” Proc. IEEE, vol. 102, no. 11, pp. 1811–1832, Nov. 2014. [25] Z. Wang and S. Mirabbasi, “CMOS rectifier with on-chip transformercoupled tunable matching network for biomedical implants,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), Florence, Italy, May 2018, pp. 1–5. [26] K. Fricke, M. Cai, and R. Sobot, “CMOS voltage regulator for RF energy harvester,” in Proc. IEEE New Circuits Syst. Conf. (NEWCAS), Grenoble, France, Jun. 2015, pp. 1–4. [27] B. Razavi and R. Behzad, RF Microelectronics, vol. 2. Upper Saddle River, NJ, USA: Prentice-Hall, 1998. [28] L. W. Couch, Digital and Analog Communication Systems, 8th ed. Upper Saddle River, NJ, USA: Prentice-Hall, 2012.
Mengye Cai (S’15) received the M.A.Sc. degree in microelectronics and solid-state electronics from the Harbin Institute of Technology, Harbin, China, in 2012. He is currently pursuing the Ph.D. degree at the Systems-on-a-Chip Laboratory, University of British Columbia, Vancouver, BC, Canada. From 2013 to 2015, he was a Research Assistant with the Implantable Systems Laboratory, Western University, London, ON, Canada. His current research interests include integrated analog and mixed-signal and radio frequency circuits for lowpower biomedical applications.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Ziyu Wang received the M.A.Sc. degree in circuits and systems from Beihang University, Beijing, China in 2013. He is currently pursuing the Ph.D. degree at the Department of Electrical and Computer Engineering, University of British Columbia, Vancouver, BC, Canada. In 2016, he joined the Department of Electrical and Computer Engineering, University of British Columbia. His current research interests include radio frequency and analog integrated circuits for biomedical applications, low-voltage power management integrated circuits, wireless power transfer systems for implantable devices, and microwave circuits and antennas.
Yi Luo (S’12) received the B.A.Sc. degree in electronic systems engineering from the University of Regina, Regina, SK, Canada, in 2012, and the M.A.Sc. degree in electrical and computer engineering from the University of British Columbia (UBC), Vancouver, BC, Canada, in 2015, where he is currently pursuing the Ph.D. degree at the Systemson-a-Chip Laboratory. From 2012 to 2014, he was with the Micro and Nano Technology Research Group, UBC, where he was involved in radio frequency MEMS device fabrication and smart implantable microdevices design for biomedical applications. His research interests include novel CMOS computational image sensor designs for emerging applications.
Shahriar Mirabbasi (M’02) received the B.Sc. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1990, and the M.A.Sc. and Ph.D. degrees in electrical and computer engineering from the University of Toronto, Toronto, ON, Canada, in 1997 and 2002, respectively. Since 2002, he has been with the Department of Electrical and Computer Engineering, University of British Columbia, Vancouver, BC, Canada, where he is currently a Professor. His current research interests include analog, mixed-signal, radio frequency and millimeter-wave integrated circuits, and system design for wireless and wireline data communications, silicon photonics, sensor interface, and biomedical applications.
Editors-in-Chief Luca Perregrini c/o Laura Grimoldi, Editorial Assistant University of Pavia 27100 Pavia, Italy E-mail:
[email protected] or
[email protected]
Jose Carlos Pedro c/o Ana Luisa Ribeiro Universidade de Aveiro 3910-193 Aveiro, Portugal E-mail:
[email protected] or
[email protected]
Information for Authors The IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications standards/publications/authors/authors journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications standards/publications/authors/auth names native lang.pdf • English language editing services can help refine the language of your article and reduce the risk of rejection without review. IEEE authors are eligible for a 10% discount at American Journal Experts; visit http://www.aje.com/go/ieee/ to learn more. Please note these services are fee-based and do not guarantee acceptance. • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications standards/publications/graphical abstract.pdf • ORCID Required: All IEEE journals require an Open Researcher and Contributor ID (ORCID) for all authors. To create an ORCID, please visit: https://orcid.org/register. The author will need a registered ORCID in order to submit a manuscript or review a proof in this journal. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the $1950 OA fee (for all articles accepted on or after 1 January 2017; articles accepted 31 December 2016 or earlier will retain the rate of $1750) if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. Digital Object Identifier 10.1109/TMTT.2018.2873877