SEPTEMBER 2018
VOLUME 66
NUMBER 9
(ISSN 0018-9480)
IETMAB
THIS ISSUE INCLUDES THE JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS MINI-SPECIAL ISSUE ON THE 2017 ASIA–PACIFIC MICROWAVE CONFERENCE Guest Editorial .......................................................................................................... A.-V. Pham
3911
MINI-SPECIAL ISSUE PAPERS
Modified Shielding Effectiveness Equation for Novel Multilayered Waveguide-Below-Cutoff Array .................... ................................................................................... S. Kim, Y. Kim, S.-K. Pang, and J.-G. Yook Demonstration of Scattering Suppression by a Near-Zero-Index Metamaterial Composed of Dielectric Spheres ...... ......................................................................................................... Y. Takano and A. Sanada Analysis and Design of a 200-GHz SiGe-BiCMOS Loss-Compensated Distributed Power Divider ...................... ........................................................................................... P. V. Testa, C. Carta, and F. Ellinger An Ultra-Wideband Fast Frequency Ramp Synthesizer at 60 GHz With Low Noise Using a New Loop Gain Compensation Technique .......................................................... M. van Delden, N. Pohl, and T. Musch A Uniform Digital Predistorter for Concurrent Multiband Envelope Tracking RF Power Amplifiers With Different Envelopes ............................................................................... Q. Lu, F. Meng, N. Yang, and C. Yu Optimal Sizing of Two-Stage Cascaded Sparse Memory Polynomial Model for High Power Amplifiers Linearization ....................................................................... S. Wang, M. Abi Hussein, O. Venard, and G. Baudoin An Analytical Design Method for High-Speed VCSEL Driver With Optimized Energy Efficiency ...................... ..................................................................................... D. Schoeniger, R. Henker, and F. Ellinger
3912 3921 3927 3937 3947 3958 3966
REGULAR PAPERS OF THE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
EM Theory and Analysis Techniques Numerically Stable and Reliable Computation of Electromagnetic Modes in Multilayered Waveguides Using the Cauchy Integration Method With Automatic Differentiation .................... K. A. Michalski and M. M. Mustafa
3981
Devices and Modeling Low-Cost Ku-Band Waveguide Devices Using 3-D Printing and Liquid Metal Filling .................................... ...................................................................................... K. Y. Chan, R. Ramer, and R. Sorrentino Group Velocity and Backward-Wave Modes in Closed Anisotropic Waveguides ........ O. Demiryurek and N. Yener
3993 4002
(Contents Continued on Page 3909)
(Contents Continued from Front Cover) Modeling of Passive Intermodulation With Electrical Contacts in Coaxial Connectors .................................... ............................................................................ Q. Jin, J. Gao, G. T. Flowers, Y. Wu, and G. Xie Passive Circuits Design of a Class of Filtering Couplers With Reconfigurable Frequency .............................. F. Lin and H. Ma Compact Narrowband Filtering Rat-Race Coupler Using Quad-Mode Dielectric Resonator ............................... ............................................................................................. J.-X. Xu, X. Y. Zhang, and H.-Y. Li Design of Three-State Diplexer Using a Planar Triple-Mode Resonator ...................................................... ............................................ S.-W. Wong, B.-L. Zheng, J.-Y. Lin, Z.-C. Zhang, Y. Yang, L. Zhu, and Y. He Compact Chebyshev Differential-Mode Bandpass Filter on λ/4 CPS Resonator With Intrinsic Common-Mode Rejection ................................................................................... L.-P. Feng, L. Zhu, S. Zhang, and X. Zhang Triple-Band Cavity Bandpass Filters ..................................................... L. Zhu, R. R. Mansour, and M. Yu
4029
Hybrid and Monolithic RF Integrated Circuits Highly Efficient Asymmetric Class-F−1 /F GaN Doherty Amplifier .................................................. J. Kim A 5-GHz Low-Power Low-Noise Integer-N Digital Subsampling PLL With SAR ADC PD .............................. ....................................................................... M. Liu, R. Ma, S. Liu, Z. Ding, P. Zhang, and Z. Zhu
4070
4007 4017
4040 4047 4057
4078
LETTERS
Comments on “Branch-Line Couplers Using Unequal Line Lengths” ..................... Q. He, L. Zhu, and Z. Dong Authors’ Reply to “Comments on ‘Branch-Line Couplers Using Unequal Line Lengths”’ ................................ ............................................................................... C. Toker, M. Saglam, M. Ozme, and N. Gunalp Corrections to “Linear Analysis of High-Frequency Field-Effect Transistors Using the CN-FDTD Method” .......... .................................................................................................................... B. Honarbakhsh
4088 4089 4090
JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS JOURNAL WITHIN A JOURNAL PAPERS
Wireless Communication Systems √ A 7.52-dB Noise Figure 128.75–132.25-GHz Super-Regenerative Receiver With 0.615-fW/ Hz NEP by Coupled Oscillator Networks for Portable Imaging System in 65-nm CMOS ........... S. Ma, H. Yu, Q. J. Gu, and J. Ren 63.5–65.5-GHz Transmit/Receive Phased-Array Communication Link With 0.5–2 Gb/s at 100–800 m and ± 50° Scan Angles ............................................... B. Rupakula, A. Nafe, S. Zihir, Y. Wang, T.-W. Lin, and G. Rebeiz A SiGe Highly Integrated FMCW Transmitter Module With a 59.5–70.5-GHz Single Sweep Cover .................... ................................................................................................................ I. M. Milosavljevi´c, D. P. Krˇcum, Ð. P. Glavonji´c, S. P. Jovanovi´c, V. R. Mihajlovi´c, D. M. Tasovac, and V. M. Milovanovi´c A 3.1–10.6-GHz 57-Bands CMOS Frequency Synthesizer for UWB-Based Cognitive Radios ........................... ..................................................................................................... N.-S. Kim and J. M. Rabaey A Reflection-Aware Unified Modeling and Linearization Approach for Power Amplifier Under Mismatch and Mutual Coupling ...................................... S. K. Dhar, A. Abdelhafiz, M. Aziz, M. Helaoui, and F. M. Ghannouchi Wireless Power Transfer and RFID Systems Accurate Modeling of Coil Inductance for Near-Field Wireless Power Transfer ............................................ ......................................................................... S. R. Khan, S. K. Pavuluri, and M. P. Y. Desmulliez Design Approach for Efficient Wireless Power Transfer Systems During Lateral Misalignment ......................... ................................................................................. A. Barakat, K. Yoshitomi, and R. K. Pokharel Integrated Cooperative Ambient Power Harvester Collecting Ubiquitous Radio Frequency and Kinetic Energy ....... ......................................................................................... X. Gu, S. Hemour, L. Guo, and K. Wu Analysis and Design of Distributed Power Detectors ............................................. S. Qayyum and R. Negra Microwave Imaging and Radar Applications On Monostatic and Bistatic System Concepts for mm-Wave Radar MMICs ................................................ .......................................................... M. Hitzler, P. Grüner, L. Boehm, W. Mayer, and C. Waldschmidt An X-Band Frequency-Modulated Continuous-Wave Radar Sensor System With a Single-Antenna Interface for Ranging Applications .................................. H.-C. Chou, Y.-H. Kao, C.-C. Peng, Y.-J. Wang, and T.-S. Chu
4095 4108
4121 4134 4147
4158 4170 4178 4191
4204 4216
Microwave Sensors and Biomedical Applications Integrated 240-GHz Dielectric Sensor With dc Readout Circuit in a 130-nm SiGe BiCMOS Technology .............. ... D. Wang, K. Schmalz, M. H. Eissa, J. Borngräber, M. Kucharski, M. Elkhouly, M. Ko, H. J. Ng, and D. Kissinger 4232 (Contents Continued on Page 3910)
(Contents Continued from Page 3909) High Ranging Accuracy and Wide Detection Range Interferometry Based on Frequency-Sweeping Technique With Vital Sign Sensing Function ........................................................ T.-H. Liu, M.-L. Hsu, and Z.-M. Tsai Development and In Vivo Performance Evaluation of 10–60-MHz Band Impulse-Radio-Based Transceiver for Deep Implantation Having 10 Mb/s .................................................................................................... ................................... J. Wang, K. Nomura, H. Narita, F. Ito, D. Anzai, J. Bergsland, and I. Balasingham Doppler Vital Signs Detection in the Presence of Large-Scale Random Body Movements ................................ ........................................... Q. Lv, L. Chen, K. An, J. Wang, H. Li, D. Ye, J. Huangfu, C. Li, and L. Ran A Transmission Line Model for the Evaluation of MRI RF-Induced Fields on Active Implantable Medical Devices ................................................................. J. Liu, J. Zheng, Q. Wang, W. Kainz, and J. Chen Microwave Photonics Fundamental/Subharmonic Photonic Microwave I/Q Up-Converter for Single Sideband and Vector Signal Generation ...................................................................... Y. Gao, A. Wen, W. Jiang, Y. Fan, Y. He, and D. Zhou Flexible New Opto-Microwave Design Approach for Radio-Over-Fiber Applications: A Case Study of Low-Cost 60-GHz VCSEL-Based IF-RoF Link .......................... C. Viana, Z. G. Tegegne, J.-L. Polleux, and C. Algani Phase Noise Measurement of RF Signals by Photonic Time Delay and Digital Phase Demodulation ................... ...................................................................................................... J. Shi, F. Zhang, and S. Pan
4242 4252 4261 4271
4282 4293 4306
IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $24.00 and obtain electronic access, plus $50.00 per year for print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE T. B RAZIL, President A. A BUNJAILEH G. LYONS
D. S CHREURS, President Elect
A. Z HU, Secretary
IEEE Transactions on Microwave Theory and Techniques J. C. P EDRO L. P ERREGRINI
IEEE Microwave Magazine R. C AVERLEY
Editors-in-Chief of the publications of the IEEE Microwave Theory and Techniques Society IEEE Microwave and Wireless IEEE Transactions on Terahertz IEEE Journal of Electromagnetics, RF Components Letters Science and Technology and Microwaves in Medicine and Biology N. S COTT BARKER J. S TAKE J.-C. C HIAO
Honorary Life Members J. BARR T. I TOH
A. A BUNJAILEH , Treasurer
Elected members M. B OZZI T. B RAZIL N. C ARVALHO G. C HATTOPADHYAY W. C HE K. G HORBANI R. G UPTA R. H ENDERSON P. K HANNA M. M ADIHIAN J. NAVARRO D. PASQUET G. P ONCHAK S. R AMAN J. E. R AYAS -S ANCHEZ S. R EISING A. S ANADA D. S CHREURS
D. K ISSINGER S. KOUL M. S TEER
IEEE Journal on Multiscale and Multiphysics Computational Techniques Q. H. L IU
Distinguished Lecturers
R. S PARKS P. S TAECKER
2016–2018 C. C AMPBELL P. ROBLIN T. NAGATSUMA N. S HINOHARA
2017–2019 W.Y. A LI -A HMAD N. B. C ARVALHO
Past Presidents 2018–2020 S. BASTIOLI M. G ARDILL J. E VERARD
D. W ILLIAMS (2017) K. W U (2016) T. L EE (2015)
MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: F. J. D I V RUNO Atlanta: W. W ILLIAMS Austria: W. B OESCH Bahia: M. TAVARES D E M ELO Baltimore: R. C. PARYANI Bangalore/India: V. V. S RINIVASAN Beijing: W. C HEN Belarus: S. M ALYSHEV Benelux: G. VANDENBOSCH Boston: A. Z AI Bombay/India: A. D. JAGATIA/ Q. H. BAKIR Brasilia/Amazon: M. V. A. N UNES Buenaventura: C. S EABURY Buffalo: M. R. G ILLETTE Bulgaria: M. H RISTOV Canada, Atlantic: C. J. W HITT Cedar Rapids/Central Iowa: M. K. ROY Central & South Italy: L. TARRICONE Central North Carolina: F. S UCO Central Texas: A. A LU Centro-Norte Brasil: A. P. L ANARI B O Chengdu: B.-Z. WANG Chicago: K. J. K ACZMARSKI Cleveland: M. S CARDELLETTI Columbus: C. C AGLAYAN Connecticut: C. B LAIR Croatia: S. H RABAR Czech/Slovakia: V. Z AVODNY Dallas: R. PANDEY Dayton: A. J. T ERZUOLI
Delhi/India: S. K. KOUL Denver: T. S AMSON/ W. N. K EFAUVER Eastern North Carolina: T. N ICHOLS Egypt: E. A. E L H. H ASHEESH Finland: K. H ANEDA /V. V IIKARI Florida West Coast: J. WANG Foothills: M. C HERUBIN France: A. G HIOTTO /P. D ESCAMPS Germany: P. K NOTT Greece: S. KOULOURIDIS Guadalajara: Z. B RITO Gujarat/India: M. B. M AHAJAN Guangzhou: Q.-X. C HU Harbin: Q. W U Hawaii: A. S INGH Hiroshima: K. O KUBO Hong Kong: H. W. L AI /K. M. S HUM Houston: S. A. L ONG Hungary: L. NAGY Huntsville: B. J. W OLFSON Hyderabad/India: Y. K. V ERMA Indonesia: M. A LAYDRUS Islamabad: H. C HEEMA Israel: S. AUSTER Kansai: T. K ASHIWA Kingston: C. E. S AAVEDRA/ Y. M. A NTAR Kitchener-Waterloo: R. R. M ANSOUR Kolkata/India: D. G UHA Lebanon: E. H UIJER Lithuania: I. NAIDIONOVA
Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: H.-Y. PAN Los Angeles, Metro/San Fernando: J. C. W EILER Macau: W.-W. C HOI Madras/India: V. A BHAIKUMAR Malaysia: F. C. S EMAN Malaysia, Penang: P. W. W ONG Mexican Council: R. L M IRANDA Milwaukee: S. S. H OLLAND Montreal: K. W U Morocco: M. E SSAAIDI Nagoya: T. S EKINE Nanjing: W. H ONG New Hampshire: D. S HERWOOD New Jersey Coast: A. AGARWAL New South Wales: R. M. H ASHMI New Zealand: A. W ILLIAMSON North Italy: G. O LIVERI North Jersey: A. P ODDAR Northern Australia: J. M AZIERSKA Northern Canada: A. K. I YER/ M. DANESHMAN Northern Nevada: B. S. R AWAT Norway: Y. T HODESEN Orange County: H. J. D E L OS S ANTOS Oregon: K. M AYS Orlando: M. S HIRAZI Ottawa: Q. Z ENG Philadelphia: A. S. DARYOUSH Peru: G. R AFAEL -VALDIVIA
Phoenix: C. S COTT /S. ROCKWELL Pikes Peak: K. H U Poland: W. J. K RZYSZTOFIK Portugal: R. F. S. C ALDEIRINHA Princeton/Central Jersey: A. K ATZ Queensland: M. S HAHPARI Rio de Janeiro: J. R. B ERGMANN Rochester: J. D. M AJKOWSKI Romania: T. P ETRESCU Russia, Moscow: V. A. K ALOSHIN Russia, Novosibirsk: A. B. M ARKHASIN Russia, Saratov/Penza: M. D. P ROKHOROV Russia, Tomsk: D. Z YKOV San Diego: T. E. BABAIAN Santa Clara Valley/San Francisco: O. E. L ANEY Seattle: D. H EO /M. P. A NANTRAM Seoul: J.-S. R IEH Serbia and Montenegro: Z. M ARINKOVIC Shanghai: J. F. M AO Singapore: X. C HEN South Africa: D. D E V ILLIERS South Australia: C. O. F UMEAUX South Brazil: C. K RETLY Southeastern Michigan: A. G RBIC Spain: M. F ERNANDEZ BARCIELA Sri Lanka: A. W. G UNAWARDENA St. Louis: D. BARBOUR Sweden: M. G USTAFSSON
Switzerland: N. PARRA M ORA Syracuse: M. C. TAYLOR Taegu: Y.-H. J EONG Tainan: C.-L. YANG Taipei: Y.-J. E. C HEN Thailand: T. A NGKAEW Tiblisi, Rep. of Georgia: K. TAVZARASHVILI Tokyo: M. NAKATSUGAWA Toronto: G. V. E LEFTHERIADES Tucson: H. X IN /M. L I Tunisia: N. B OULEJFEN ¨ E RG UL ¨ Turkey: O. Twin Cities: C. F ULLER UK/RI: A. R EZAZADEH Ukraine, East: K. V. I LYENKO Ukraine, Kiev: Y. P ROKOPENKO Ukraine, Vinnytsya: O. O. KOVALYUK Ukraine, West: M. I. A NDRIYCHUK United Arab Emirates: N. K. M ALLAT Uttar Pradesh/India: A. R. H ARISH Vancouver: D. G. M ICHELSON Venezuela: J. B. P ENA Victoria: E. V INNAL Virginia Mountain: G. W ILLIAMS Washington DC/Northern Virginia: R. R. B ENOIT Western Saudi Arabia: A. S HAMIM Winnipeg: P. M OJABI Xian: X. S HI
Editorial Board of IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES Editors-In-Chief Editorial Assistants J OSE C ARLOS P EDRO Universidade de Aveiro Aveiro, Portugal
L UCA P ERREGRINI Univ. of Pavia Pavia, Italy
L AURA G RIMOLDI Italy
A NA R IBEIRO Portugal
Associate Editors S T E´ PHANE B ILA XLIM Limoges, France X UDONG C HEN Nat. Univ. of Singapore Singapore TA -S HUN C HU National Tsing Hua University Hsinchu, Taiwan
A LESSANDRA C OSTANZO Univ. of Bologna Bologna, Italy C HISTIAN DAMM Univ. Ulm Ulm, Germany
A NDREA F ERRERO Keysight Technol. Santa Rosa, CA, USA J OSE A NGEL G ARCIA Universidad de Cantabria Santander, Spain
K AMRAN G HORBANI RMIT Univ. Melbourne, Vic., Australia J USEOP L EE Korea Univ. Seoul, South Korea
A RUN NATARAJAN Oregon State Univ. Corvallis, OR, USA H ENDRIK ROGIER Univ. of Ghent Ghent, Belgium
C HRISTOPHER S ILVA The Aerospace Corporation El Segundo, CA, USA M ARTIN VOSSIEK Univ. of Erlangen-N¨urnberg Erlangen, Germany
PATRICK FAY Univ. of Notre Dame Notre Dame, IN, USA
XUN GONG University of Central Florida Orlando, FL, USA
T ZYH -G HUANG M A NTUST Taipei, Taiwan
M IGUEL A NGEL S ANCHEZ S ORIANO University of Alicante Alicante, Spain
J OHN W OOD Obsidian Microwave, LLC Raleigh-Durham, NC, USA
IEEE Officers JAMES A. J EFFERIES, President J OS E´ M. F. M OURA, President-Elect W ILLIAM P. WALSH, Secretary J OSEPH V. L ILLIE, Treasurer K AREN BARTLESON, Past President
W ITOLD M. K INSNER, Vice President, Educational Activities S AMIR M. E L -G HAZALY, Vice President, Publication Services and Products M ARTIN J. BASTIAANS, Vice President, Member and Geographic Activities F ORREST D. “D ON ” W RIGHT, President, Standards Association S USAN “K ATHY ” L AND, Vice President, Technical Activities S ANDRA “C ANDY ” ROBINSON, President, IEEE-USA J ENNIFER T. B ERNHARD, Director, Division IV—Electromagnetics and Radiation
IEEE Executive Staff S TEPHEN P. W ELBY, T HOMAS S IEGERT, Business Administration J ULIE E VE C OZIN, Corporate Governance D ONNA H OURICAN, Corporate Strategy JAMIE M OESCH, Educational Activities JACK BAILEY, General Counsel & Chief Compliance Officer VACANT, Human Resources C HRIS B RANTLEY, IEEE-USA
Executive Director & Chief Operating Officer C HERIF A MIRAT, Information Technology K AREN H AWKINS, Marketing C ECELIA JANKOWSKI, Member and Geographic Activities M ICHAEL F ORSTER, Publications KONSTANTINOS K ARACHALIOS, Standards Association M ARY WARD -C ALLAN, Technical Activities
IEEE Periodicals Transactions/Journals Department
Senior Director, Publishing Operations: DAWN M ELLEY Director, Editorial Services: K EVIN L ISANKIE Director, Production Services: P ETER M. T UOHY Associate Director, Editorial Services: J EFFREY E. C ICHOCKI Associate Director, Information Conversion and Editorial Support: N EELAM K HINVASARA Managing Editor: C HRISTOPHER P ERRY Journals Coordinator: C HRISTINA M. R EZES IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $217.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, c 2018 by The Institute of Electrical and Electronics Engineers, Inc. write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.
Digital O bject Identifier 10.1109/TMTT.2018.2862039
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Guest Editorial
T
HE 2017 Asia–Pacific Microwave Conference (APMC 2017) was held in Kuala Lumpur, Malaysia, on November 13, 2017–November 16, 2017. The conference was sponsored by the IEEE Microwave Theory and Techniques (MTT) Society, organized by the Malaysian IEEE AP/MTT/EMC Joint Chapter, and technically co-sponsored by the European Microwave Association and the IEEE Antennas and Propagation Society. Out of ∼537 papers submitted, ∼360 papers were accepted for presentation in the conference and publication in the 2017 APMC proceedings. These papers have also been published online on the IEEE Xplore website. The technical program involved 430 authors coming from 40 different countries. This Mini-Special Issue restarts the tradition of publishing extended papers of the APMC in this T RANSACTIONS. The submissions, open to all authors of the papers presented, were due on January 15, 2018. A total of 20 papers were submitted. The submitted extended papers went through the same peer-review process as regular submissions to this T RANSACTIONS. After being carefully reviewed, seven papers were accepted for publication. The editorial process for this T RANSACTIONS’ Mini-Special Issue was handled by Prof. Anh-Vu Pham,
Guest Editor, who worked with the Editor-in-Chief and one Associate Editor responsible for the regular issues of this T RANSACTIONS. This policy ensured that all papers presented in this Mini-Special Issue were evaluated, not only using the same process as regular issue papers, but also under the same Editorial Review Board. I would like to thank the authors for submitting and refining their manuscripts and the reviewers for their time and effort to carefully review the papers. I would like to thank Prof. José Carlos Pedro, IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES Editor-in-Chief, and Prof. Kamran Ghorbani, Associate Editor, for their guidance and support of this Mini-Special Issue. Finally, I hope that future APMC and its authors will continue the tradition of publishing extended conference papers in this T RANSACTIONS.
A NH -V U P HAM, Guest Editor Department of Electrical and Computer Engineering University of California at Davis Davis, CA 95618 USA
Anh-Vu Pham (SM’03) received the B.E.E. (with highest Hons.), M.S., and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in 1995, 1997, and 1999, respectively. In 1997, he co-founded RF Solutions, a fabless semiconductor company providing power amplifiers and RFICs for WiFi applications. RF Solutions was acquired by Anadigics in 2003. In 2008, he co-founded and served as the CTO of Planarmag Inc., which was acquired by TE Connectivity in 2010. From 1999 to 2002, he was an Assistant Professor with Clemson University, Clemson, SC, USA. He joined the University of California at Davis, Davis, CA, USA, in 2002, as an Assistant Professor and became a Full Professor in 2008, where he is currently the Co-Director of the Davis Millimeter Wave Research Center. He has authored ∼180 peer-reviewed papers, several book chapters, and 2 books. He conducts research on microwave and millimeter-wave integrated circuit design, power amplifiers, electronic packaging, sensors, energy harvesting, and phased-array antennas. His research has been supported by DARPA, NSF, ONR, AFRL, and numerous companies. Dr. Pham was a recipient of the National Science Foundation Career Award in 2001 and the 2008 Outstanding Young Engineer Award from the IEEE Microwave Theory and Techniques Society (MTT-S). He served as the Chair of the IEEE MTT-S Technical Coordinate Committee on Microwave and Millimeter Packaging from 2003 to 2006, and the IEEE International Microwave Symposium Technical Committee on Power Amplifiers and Integrated Devices. He was a Microwave Distinguished Lecturer of the IEEE MTT-S from 2010 to 2012. He was the Co-Chair of the Technical Program Committee for the IEEE MTT-S International Microwave Symposium in San Francisco, CA, USA, in 2016, and is the Co-Chair of the Technical Program Committee for the IEEE Asia–Pacific Microwave Conference.
_____________________ Digital Object Identifier 10.1109/TMTT.2018.2856308 0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Modified Shielding Effectiveness Equation for Novel Multilayered Waveguide-Below-Cutoff Array Sangin Kim , Student Member, IEEE, Yuna Kim , Student Member, IEEE, Seung-Ki Pang, and Jong-Gwan Yook , Senior Member, IEEE
Abstract— This paper presents an airflow analysis and a shielding effectiveness (SE) analysis for a multilayered waveguidebelow-cutoff array (WBCA) used to prevent electromagnetic penetration. The performance of the conventional unit square WBCA and the multilayered WBCA is compared. For the airflow analysis, the simulations are performed at the entrance and exit of the shielding structure when an airflow velocity of 1 m/s is injected at the inlet. The velocity loss and pressure difference of the multilayered WBCA are improved compared with the unit square WBCA. In terms of the shielding, the SE of the multilayered WBCA is compensated by increasing the number of overlapping layers. Furthermore, a new SE equation is derived by modifying that of the unit square WBCA. Index Terms— Electromagnetic pulse (EMP), flow velocity, multilayer, pressure difference, shielding effectiveness (SE), SE equation, waveguide-below-cutoff array (WBCA).
I. I NTRODUCTION
H
IGH-ENERGY electromagnetic pulse (EMP) might have a profound effect on social infrastructure [1], [2], and protection against this threat is necessary, because EMP can neutralize most high-end electronic devices. Thus, electromagnetic shielding should be systematically designed for important facilities containing sensitive electronic devices for critical social services [3]. An electromagnetic wave can penetrate into the structures and cause the malfunctions and failures of electronic equipment with the sudden increase in the current flow or voltage. Because the electromagnetic wave can penetrate through the opening apertures, the facilities should have shielded the point of entries (POE), such as windows, door openings, ventilation, as well as water pipes [4]. Various studies and detailed analyses on shielding structures have been reported hitherto [5]–[8]. Typically, the shielding structures, such as a unit square waveguide-below-cutoff array (WBCA) or honeycomb structure, are used, and the Manuscript received January 14, 2018; revised March 30, 2018 and May 10, 2018; accepted May 27, 2018. This work was supported by a grant from the Infrastructure and Transportation Technology Promotion Research Program through the Ministry of Land, Infrastructure and Transport of the Korean Government. This paper is an expanded version from the Asia–Pacific Microwave Conference, Kuala Lumpur, November 13–16, 2017. (Corresponding author: Jong-Gwan Yook.) S. Kim, Y. Kim, and J.-G. Yook are with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 30722, South Korea (e-mail:
[email protected];
[email protected]). S.-K. Pang is with the Department of Architecture, Kyungmin University, Uijeongbu 11618, South Korea (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2846273
shielding effectiveness (SE) is used as a performance measure. For theoretical analysis, the finite-difference time-domain method and the multilevel fast multipole method are commonly used [9]–[16]. WBCA structures are often applied for POEs, such as ventilation and water pipes, because of their excellent SE. However, the intrinsic purpose of the ventilation and water pipes is to provide a path through which air or water flows [17]. With the addition of the shielding structures, the conventional WBCA could interfere with the flow and increase the loss of flow velocity and pressure difference [18]–[20]. Because public facilities and factories must satisfy the recommended airflow standards, a shielding structure has to be modified appropriately [17]. Furthermore, fabrication with the conventional honeycomb or rectangular cell-type structures is difficult to fabricate and maintain. To mitigate these disadvantages, a multilayered WBCA reducing the interference of flow is proposed as a shielding structure [21]. The multilayered WBCA can provide a smooth airflow compared with the conventional structures owing to its structural characteristics. Furthermore, the multilayered WBCA can be optimized to provide the appropriate SE level by increasing the number of overlapping layers. To use these multilayered WBCAs to design large facilities, the SE of the multilayered WBCA must be accurately predicted. Instead of using full-wave simulation tools [22], an analytic SE equation would be beneficial to practical engineers. Therefore, the SE equation of the multilayered WBCA for the ventilation was derived [23]. However, the multilayered WBCA is used not only for ventilation but also for the material-filled waveguide, i.e., water pipes. Thus, the SE equation for the general case is required and should be proven. This paper demonstrates the advantages of the proposed shielding structure by analyzing the airflow and SE in Section II. Subsequently, Section III presents the results of the modified SE equation for the multilayered WBCA and compares the results from the full-wave simulation and modified SE equation. In addition, the proposed SE equation will be validated by comparison with the measured result. II. P ERFORMANCE A NALYSIS OF THE M ULTILAYERED WBCA Before the multilayered WBCA performance is analyzed, the structural characteristics will be first explained. Furthermore, this section demonstrates the advantages of the proposed structure compared with the conventional unit square WBCA.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. (a) Isometric view of the conventional unit square WBCA. (b) Front view of the unit rectangular WBCA.
Fig. 3. Simulation conditions of airflow with square WBCA and multilayered WBCA.
Fig. 2. (a) Isometric view of the proposed two-layered WBCA. (b) Front view of the two-layered WBCA.
A. Airflow Analysis of the Multilayered WBCA The conventional unit square WBCA is designed by arranging the unit square cells, as shown in Fig. 1. Fig. 1 shows the isometric view, as well as front view, of the unit square WBCA. Here, a represents the length of the unit square cell, and l represents the depth of the WBCA. The SE of the unit square WBCA will be improved as a becomes smaller and l becomes larger. However, the airflow will be disturbed because of the increased friction. To overcome this problem, a multilayered WBCA, as shown in Fig. 2, is proposed. In this structure, vertically and horizontally elongated waveguides are cascaded to provide characteristics similar to those of the conventional WBCA. It is possible to design an arbitrary number of WBCA layers by cascading two orthogonal sections for a given SE level. An airflow analysis was performed for the unit square WBCA and the proposed multilayered WBCA. For comparison, the intake velocity of the air at the inlet was set to 1 m/s, as shown in Fig. 3, and the air velocity at the outlet was calculated. The simulation was performed with STAR-CCM+ 10.04 (win64) by CD-Adapco based on computational fluid dynamics [24]. The simulation was set to approximately 2.7 million using a polyhedral volume mesh. The detailed geometrical parameters are shown in Fig. 3. Fig. 4 shows the average velocities of the airflow over the surface at the entrance and exit planes of the unit square WBCA and the multilayered WBCA. The airflow is divided by
Fig. 4. Comparison of flow velocity between square WBCA and multilayered WBCA from two to eight layers when depth l is the same.
the waveguide bulkhead. Although the maximum air velocity increases in a specific position, the mean air velocity is reduced owing to the back flow of air and the increased friction. Therefore, a decrease in velocity will occur. As shown in the results, it is clear that the velocity decrease in the multilayered WBCA is smaller than that of the unit square WBCA. The maximum air velocities at the entrance and exit are improved by 6% and 15%, respectively. In addition, the pressure difference between the entrance and the exit is calculated. As shown in Fig. 5, the unit square WBCA shows the largest pressure difference of 0.8 Pa, implying an increased interference with the airflow. Meanwhile, the proposed WBCAs from two to eight layers show a relatively small pressure difference between 0.46 and 0.66 Pa. Thus, the maximum pressure difference is improved by 33% in the case of the two-layered WBCA. These results confirm that the airflow of the proposed structure is smoother than that of the conventional structure.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: MODIFIED SE EQUATION FOR NOVEL MULTILAYERED WBCA
Fig. 5. Comparison of pressure difference between square WBCA and multilayered WBCA from two to eight layers when depth l is the same. TABLE I D EPTH l W ITH THE N UMBER OF L AYERS
3
Fig. 7. Comparison of pressure difference between square WBCA and multilayered WBCA from two to eight layers when SE is the same.
those of the unit square WBCA. This shows that the ratio of the discontinuity area transmitting airflow is important. Fig. 7 shows the pressure difference between the entrance and the exit. It also shows that the proposed WBCAs are improved compared with the unit square WBCA. In conclusion, the airflow deteriorates as the number of layers and the depth of the multilayered WBCAs increase. However, when the same SE is required, the depths of the multilayered WBCA are drastically reduced to a log form, while the number of layers is increased by 2. In other words, as the number of layer increases, the depth is reduced further; therefore, the results of airflow show more effectiveness than the conventional WBCA. B. SE Analysis of Multilayered WBCA
Fig. 6. Comparison of flow velocity between square WBCA and multilayered WBCA from two to eight layers when SE is the same.
In the previous case, the airflow was analyzed when the depth l was the same. Next, the simulation of the WBCAs having the same shielding effect is analyzed. Globally, the shielding effect of the facilities is specified to be 80 dB at 1 GHz [25]. Therefore, when the side length a is the same, the simulations are performed for the unit square WBCA and the multilayered WBCA satisfying 80 dB. The depth 1 of the WBCAs is set differently, and Table I shows the depth l for the unit square WBCA and multilayered WBCA. Fig. 6 shows the mean velocity at the entrance and exit planes as in the previous case. In the two-layered WBCA, the exit velocity is reduced more than that in the unit square WBCA, but the entrance velocity is not reduced more than that in the unit square WBCA. From four to eight layers, the entrance and exit velocities are improved compared with
To analyze the SE of the proposed multilayered WBCA, a plane wave is incident upon the WBCA entrance, and the transmission characteristics are compared with the unit square WBCA. When the electromagnetic wave is incident from the outside, the TE10 or TE01 mode will have the lowest attenuation among all the other modes generated, and the transmitted power of the unit square WBCA can be expressed as shown [26] 1 ωμ0 a 4 |E 10 |2 ( E × H∗) · d s = P10 = Re Re(β)e−2αl 2 4π 2 (1) where E 10 is the amplitude of the TE10 mode, α is the attenuation constant, β is the phase constant, μ0 is the intrinsic permeability, and ω is the angular frequency. It is clear that as a decreases or l becomes longer, the transmitted power will be decreased. For the unit square WBCA, the transmitted power is proportional to a 4 . Meanwhile, for the proposed two-layered WBCA, the incident electromagnetic wave is transmitted through a vertical WBCA of depth l/2, and then, the wave is transmitted through a horizontal WBCA of depth l/2. When the wave is traveling through the vertical WBCA section, the horizontal component of the electric field experiences less attenuation, because the tangential component of the electric field is reduced by the effective conductor. Meanwhile, the vertical component of the electric field is not
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 9. Results of electric field on the y–z cross section at 1 GHz. (a) 2-layered WBCA. (b) 8-layered WBCA. (c) 16-layered WBCA.
Fig. 8. Comparison of SE results between unit square WBCA and multilayered WBCA from 2 to 64 layers.
effectively suppressed when it travels through the horizontal WBCA section. Hence, the two-layered WBCA provides insufficient attenuation compared with the unit square WBCA. This insufficient SE level can be compensated by increasing the number of cascading orthogonal sections. In this section, we show the SE calculation as a function of the number of cascading sections of the multilayered WBCA. The simulation conditions are identical to the previous case; the side length of the WBCA a is 10 mm, the depth of the WBCA l is 35 mm, the overall size of the WBCAs is 300 × 300 mm, and the structures were fabricated using copper as it is a good conductor. The multilayered WBCAs have been simulated from 2 to 64 layers with a 3-D fullwave electromagnetic solver with the HFSS by ANSYS. The WBCAs are used in the simulation proceeded through the ideal process, and the edges are perfectly sharp. To divide enough meshes to obtain the exact simulation results, the reference frequency was set to 2 GHz, and delta S (represents mesh stability) was set to 0.05. Subsequently, considering the periodic structure, the periodic boundary condition was used. The SE results are obtained by comparison between port 1 and port 2, as shown in Fig. 3, when the signal was excited at port 1. Fig. 8 shows the SE curves for the unit square WBCA as well as for the multilayered vertical–horizontal WBCAs. Using only two layers, a 62-dB SE is obtained at 2 GHz; as the number of layer increases, the SE level increased further. Using eight layers, an SE level greater than 90 dB can be attained at 2 GHz. Furthermore, Fig. 9 shows the electric field inside the multilayered WBCAs on the y–z cross section. The results prove that as the number of layers increases, the electric field is attenuated more during the transmission through the intersection point. Additionally, as the number of layer increases, the SE level approaches that of the conventional square WBCA. III. M ODIFIED SE E QUATION OF THE M ULTILAYERED WBCA A. Derivation to the Modified SE Equation To predict the SE of the multilayered WBCA, a fullwave analysis that requires a high computation cost can be
Fig. 10.
Equivalent problem of the air-filled WBCA and field definitions.
used, such as by using the simulation tool or increasing the simulation time as the number of layer increases. Thus, the accurate mathematical formulas would be preferred. The SE equation for the unit square WBCA exists; however, no SE equation is available for the multilayered WBCA. In this section, a modified SE equation for the multilayered WBCA will be derived. The SE is defined as the magnitude ratio of the transmitted electric field ( Et ) and the incident electric field ( Ei ), as shown in the following: E P i i (2) S E = 10 log = 20 log Et Pt and the SE equation for the unit square WBCA is expressed by (3), satisfying the boundary condition as shown in Fig. 10 [21], [27], [28] (η0 + η0 )2 SE = R + A = 20 log 4η0 η0 2ka αl (3) + 20 log(e ) + 20 log π where η0 is the intrinsic impedance in air, k is the wavenumber, and R represents the shielding effect generated by the reflection loss, whereas A is the absorption loss. Additionally, the third term of (3) represents the array effect. The attenuation constant α can be expressed as the following (4) for a metallic waveguide [27], [29]: 2 fc √ −1 (4) α = ω μ f where f c represents the cutoff frequency of a unit square cell.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: MODIFIED SE EQUATION FOR NOVEL MULTILAYERED WBCA
5
TABLE II C ONSTANT C W ITH THE N UMBER OF L AYERS
Fig. 11.
SE results with the number of layers by frequency.
Fig. 13. (a) Isometric view of the proposed two-layered WBCA filled nonair material. (b) Front view of the two-layered WBCA filled nonair material.
Fig. 12. Mechanical equivalence of structure between square WBCA and two-layered WBCA.
In practice, the SE equation changes with the material filled inside the waveguide. Therefore, in this paper, the SE equations are derived for the general cases. 1) Air-Filled WBCA: The SE equation of the multilayered WBCA can be derived from (3). From Fig. 11, it is clear that the SE level of the multilayered WBCA approaches that of the square WBCA. Figs. 1(b) and 2(b) show that the shape of the unit square cells of the WBCAs appears the same when viewed from the front. As the cutoff frequency value of the unit square cells is the same for both cases, the side length a of the multilayered WBCA is maintained in the SE equation. Meanwhile, the depth l should be changed effectively. Thus, by introducing the effective length (leff ) as shown in Fig. 12, the original SE equation in (3) can be used for the new multilayered WBCAs. It is noteworthy that leff is always greater than l to provide the same SE level. leff can be defined as leff = C × l, where C can be derived from the fullwave simulation, as shown in Table II. First, the SE results were obtained by the full-wave simulation of the multilayered WBCAs with the same depth. After those were substituted for (3) to extract the new l value, the constant value C is defined as the ratio of the new l value and the physical depth. It is noteworthy that the constant value C converges to one as the number of layers increases.
The modified SE equation can be derived as shown in the following [23]: (η0 + η0 )2 + 20 log(eαleff ) SE = R + A = 20 log 4η0 η0 2ka + 20 log . (5) π 2) Material-Filled WBCA: When the inside of the WBCA is filled with a nonair material as shown in Fig. 13, the impinging electromagnetic wave experiences impedance discontinuities at the material interfaces as well as multiple reflections, resulting in multiple reflection loss. Fig. 14 shows an equivalent problem for the material-filled WBCA problem. After applying the boundary conditions at the two interfaces, the following simultaneous equations are obtained: (6) E i + Er = E 1 + E 2 E2 Ei Er E1 − (7) − = η0 η0 η η E 1 e−γm leff + E 2 eγm leff = E t e− jβ0leff (8) E 1 −γm leff E 2 γm leff E t − jβ0leff e e − = e (9) η η η0 where η represents the impedance of the material-filled waveguide and γm is the propagation constant with the material-filled waveguide. To solve these equations, the reflection and transmission coefficients are obtained by using the ratio of Er /E i and E t /E i . The transmission coefficient yields
−1 Et 4η0 η η0 −η 2 −2αm leff −j 2βm leff = e e e−αleff . 1− Ei (η0 +η)2 η0 +η (10)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 14. Equivalent problem of the material-filled WBCA and field definitions. TABLE III P HASE C ONSTANT γm W ITH THE N UMBER OF L AYERS Fig. 15. Illustration of multiple reflection (a) two-layered WBCA and (b) four-layered WBCA.
Thus, the modified SE equation considering the multiple reflection losses can be obtained (η0 + η)2 + 20 log eαleff SE = R + A + M = 20 log 4η0 η η0 − η 2 2ka + 20 log 1 − + 20 log π η0 + η × e−2αm leff e− j 2βm leff
(11)
where αm and βm represent the attenuation constant and the phase constant in the material-filled section, respectively. Fig. 15 shows the illustration of multiple reflections of the two-layered WBCA and the four-layered WBCA. For the two-layered WBCA, owing to the discontinuity at t = l/2, the electric field is transmitted and reflected. At this time, the magnitude of the transmitted field in the solid line becomes dominant. The transmitted field is reflected at t = l. Subsequently, the field reflects again at t = l/2, and it returns toward t = l. Therefore, multiple reflections of total length l repeatedly occur. Meanwhile, for the four-layered WBCA, when the transmitted field in the solid line is reflected at t = l, the next reflection occurs at t = 3l/4. Therefore, multiple reflections of total depth l/2 repeatedly occur. In other words, the multiple reflection losses are inversely proportional to N based on the two-layered WBCA. It is noteworthy that the multiple reflection loss effects are dependent on the number of layers because γm varies as a function of the number of layers. Table III shows γm according to the number of layers. As shown in Table III, the phase constants of the multilay√ ered WBCA can be represented by γm = ω μ ∗ (2/N) [30]. Fig. 16 shows the multiple reflection losses with the number of layers when a is 10 mm, l is 35 mm, and the medium is pure water having r = 81 and dielectric tan δ = 0.02. As shown in Fig. 16, when the number of layer increases,
Fig. 16.
Multiple reflection losses for different number of layers.
the multiple reflection losses converge to 0. That is, as the number of layers with the multilayered WBCA is smaller, the SE is further affected by the multiple reflection losses. B. Validation of the Modified SE Equation 1) Air-Filled WBCA: For the air-filled WBCA with a = 10 mm and l = 35 mm, the modified SE formulas are compared with the full-wave analysis for 2- to 64-layered waveguides. As shown in Fig. 17, the equation agrees well for all the cases. In addition, the modified SE formulas are tested with different geometrical parameters: a = 15 mm and l = 35 mm, as well as a = 10 mm and l = 25 mm. These two cases also agree well, as shown in Figs. 18 and 19. 2) Material-Filled WBCA: In this case, the multilayered WBCA is filled with water (r = 81 and tanδ = 0.02). The SE is compared for 2− to 64-layered cases and excellent agreement is revealed as shown in Fig. 20. A resonant behavior occurred because of the lowered cutoff frequency, which is f c = v/2a = 1.65 GHz, and the multiple reflections. It is noteworthy that as the number of layers increases, βm decreases; consequently, the multiple reflection phenomenon
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: MODIFIED SE EQUATION FOR NOVEL MULTILAYERED WBCA
Fig. 17. SE for different number of layers {a = 10 mm and l = 35 mm with air} (line: full-wave analysis and marker: modified SE equation).
Fig. 18. SE for different number of layers {a = 15 mm and l = 35 mm with air} (line: full-wave analysis and marker: modified SE equation).
7
Fig. 20. SE for different number of layers {a = 10 mm and l = 35 mm with pure water} (line: full-wave analysis and marker: modified SE equation).
Fig. 21. SE for different number of layers {a = 15 mm and l = 35 mm with pure water} (line: full-wave analysis and marker: modified SE equation).
Fig. 19. SE for different number of layers {a = 10 mm and l = 25 mm with air} (line: full-wave analysis and marker: modified SE equation).
Fig. 22. SE for different number of layers {a = 10 mm and l = 25 mm with pure water} (line: full-wave analysis and marker: modified SE equation).
is reduced. Similar to the air case, two different geometrical parameters are also tested and revealed excellent agreement as shown in Figs. 21 and 22. It is clear that the modified
SE equation predicts the SE level as well as the resonant behavior below the cutoff frequency region because of multiple reflections.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 23. (a) Measured two-layered WBCA. (b) Measured six-layered WBCA.
Fig. 26. SE of two- and six-layered WBCA with air (marker: modified SE equation and line: measurement and full-wave analysis).
as the number of layers increases. It is clear that the proposed SE formulas agree well with the measurement result. IV. C ONCLUSION Fig. 24.
Configuration of setup used in measurement.
In this paper, a novel multilayered WBCA for a shielding structure that can improve the airflow has been proposed for the easy fabrication and future maintenance of large facilities. Additionally, the modified SE equations for the multilayered WBCA have been derived by introducing the concept of effective length and multiple reflections. The validity of the equations is verified with a full-wave simulation as well as measurements. It has been confirmed that the newly proposed vertical and horizontal WBCAs can provide a much better air or fluid velocity as well as sufficient shielding performances. The novel structure and SE equations can be used for more realistic situations with easier fabrication and long-term maintenance. R EFERENCES
Fig. 25.
Measurement setting for two- and six-layered WBCA.
C. Measurement Results In this paper, two-layered WBCA and six-layered WBCA are fabricated using an iron plate, as shown in Fig. 23. The two-layered and six-layered WBCAs have identical a and l, which is 18 and 90 mm, respectively. Fig. 24 shows the configuration of the setup used in the measurement. The preamplifier and the power amplifier were used to satisfy the dynamic range, and a shield room was installed around the equipment under test. For the measurement, a log-periodic antenna and a custom-made shield room with the setup panel were used, as shown in Fig. 25. As shown in Fig. 26, the measurement result, the full-wave simulation result, and the modified SE equation show good agreement. Furthermore, the SE improves
[1] S. Glasstone and P. J. Dolan, “Effects of nuclear weapons,” U.S. Dept. Defense, Washington, DC, USA, Tech. Rep. ADA087568, 1977. [2] C. Longmire, “On the electromagnetic pulse produced by nuclear explosions,” IEEE Trans. Electromagn. Compat., vol. EMC-26, no. 1, pp. 3–13, Jan. 1978. [3] M. Seo, S. Chi, Y. Kim, W. Park, H. Kang, and C. Huh, “Electromagnetic wave shielding effectiveness measurement method of emp protection facility,” J. Korean Inst. Electromagn. Eng. Sci., vol. 25, no. 5, pp. 548–558, 2014. [4] J.-H. Yang and S.-W. Nam, “Electromagnetic pulse coupling into naval warship and protective measures,” J. Korean Inst. Electromagn. Eng. Sci., vol. 25, no. 4, pp. 426–433, 2014. [5] R. B. Schulz, V. C. Plantz, and D. R. Brush, “Shielding theory and practice,” IEEE Trans. Electromagn. Compat., vol. EMC-30, no. 3, pp. 187–201, Aug. 1988. [6] H.-D. Kang, I.-Y. Oh, and J.-G. Yook, “Analytic modeling of oblique penetration of early-time high altitude electromagnetic pulse into dispersive underground multilayer structures,” J. Electromagn. Waves Appl., vol. 27, no. 13, pp. 1649–1659, 2013. [7] W. Wallyn, D. D. Zutter, and H. Rogier, “Prediction of the shielding and resonant behavior of multisection enclosures based on magnetic current modeling,” IEEE Trans. Electromagn. Compat., vol. 44, no. 1, pp. 130–138, Feb. 2002.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: MODIFIED SE EQUATION FOR NOVEL MULTILAYERED WBCA
[8] Q. F. Liu, W. Y. Yin, M. F. Xue, J. F. Mao, and Q. H. Liu, “Shielding characterization of metallic enclosures with multiple slots and a thinwire antenna loaded: Multiple oblique EMP incidences with arbitrary polarizations,” IEEE Trans. Electromagn. Compat., vol. 51, no. 2, pp. 284–292, May 2009. [9] W. A. Bereuter and D. C. Chang, “Shielding effectiveness of metallic honeycombs,” IEEE Trans. Electromagn. Compat., vol. EMC-24, no. 1, pp. 58–61, Feb. 1982. [10] G. Eriksson, H.-J. Asander, M. Backstrom, and J. Loren, “Microwave coupling into a generic object. FDTD simulations and comparison with measurements,” in Proc. IEEE Int. Symp. Electromagn. Compat. (EMC), vol. 1, Aug. 2001, pp. 313–318. [11] C. Fang, Q. Zhang, H. Tan, D. Wen, and D. Xie, “Computation of shielding effectiveness of a rectangular case with MLFMM between 0–5 GHz,” in Proc. Int. Conf. Microw. Millim. Wave Technol. (ICMMT), May 2010, pp. 1817–1820. [12] X. Jin-shi, L. Wen-hua, Z. Shi-ying, and Z. Jin-hua, “Simulation of high power EMP effects on a cylinder object with openings using FDTD method,” in Proc. Int. Conf. Microw. Millim. Wave Technol. (ICMMT), May 2010, pp. 1865–1868. [13] C. Fang, Q. Zhang, and D. Xie, “Simulation of shielding characteristic of a typical decay waveguide window for EMP,” in Proc. Int. Conf. Electromagn. Adv. Appl. (ICEAA), Sep. 2010, pp. 780–783. [14] S.-Y. Hyun, I. Jung, I.-P. Hong, C. Jung, E.-J. Kim, and J.-G. Yook, “Modified sheet inductance of wire mesh using effective wire spacing,” IEEE Trans. Electromagn. Compat., vol. 58, no. 3, pp. 911–914, Jun. 2016. [15] S.-Y. Hyun, K.-W. Lee, and J.-G. Yook, “Modeling of shielding effectiveness of reinforced concrete walls for electromagnetic pulse,” in Proc. 9th Eur. Radar Conf. (EuRAD), 2012, pp. 453–456. [16] K. Bierwirth, N. Schulz, and F. Arndt, “Finite-difference analysis of rectangular dielectric waveguide structures,” IEEE Trans. Microw. Theory Techn., vol. MTT-34, no. 11, pp. 1104–1114, Nov. 1986. [17] S.-J. Suh, Architecture Actie Contol Method. Seoul, South Korea: Iljinsan, 2004. [18] S.-K. Pang and J.-H. Kim, “Design optimization for air ducts and fluid pipes at electromagnetic pulse (EMP) shield in highly secured facilities,” Korea Soc. Geotherm. Energy Eng., vol. 10, no. 4, pp. 15–24, 2014. [19] S.-K. Pang and J.-H. Kim, “A design optimization on coupling joint between exhaust chimney of electricity generator and electromagnetic pulse (EMP) shield,” J. Energy Eng., vol. 24, no. 4, pp. 159–165, 2015. [20] S.-K. Pang, J.-H. Kim, J.-G. Yook, Y. Kim, S. Kim, and S.-B. Kim, “Electromagnetic pulse (EMP) shielding effectiveness of waveguidebelow-cutoff (WBC) arrays installed in generator exhaust chimney and its effects on gas velocity,” Korea Soc. Geotherm. Energy Eng., vol. 12, no. 1, pp. 1–6, 2016. [21] S. Kim, Y. Kim, S.-K. Pang, S.-B. Kim, and J.-G. Yook, “Analysis of EMP shielding efficiency and flow of fluid with multi-layered waveguide-below-cutoff array,” J. Korean Inst. Electromagn. Eng. Sci., vol. 27, no. 8, pp. 735–741, 2016. [22] J.-K. Du, S.-M. Hwang, J.-W. Ahn, and J.-G. Yook, “Analysis of coupling effects to PCBs inside waveguide using the modified BLT equation and full-wave analysis,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3514–3523, Oct. 2013. [23] S. Kim, Y. Kim, S.-K. Pang, and J.-G. Yook, “Electromagnetic shielding effectiveness with multi-layered waveguide-below-cutoff array for improved air flow,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Nov. 2017, pp. 276–279. [24] STAR-CCM+, CD-Adapco, Melville, NY, USA, 2015. [25] High-Altitude Electromagnetic Pulse (HEMP) Protection for GroundBased c41 Facilities Performing Critical Time-Urgent Missions, Part 1 Fixed Facilities, Standard MIL-STD-188-125-1, 1998. [26] D. M. Pozar, Microwave Engineering. Hoboken, NJ, USA: Wiley, 2009. [27] C. R. Paul, Introduction to Electromagnetic Compatibility, vol. 184. Hoboken, NJ, USA: Wiley, 2006. [28] M. M. D. R. J. White, A Handbook Series on Electromagnetic Interference and Compatibility: Electromagnetic Shielding, vol. 3. Gainesville, VA, USA: Interference Control Technologies, 1988. [29] L. H. Hemming, “Applying the waveguide below cut-off principle to shielded enclosure design,” in Proc. IEEE Int. Symp. Electromagn. Compat., Symp. Rec., Aug. 1992, pp. 287–289. [30] S. Kim, Y. Kim, and J.-G. Yook, “Analysis of EMP shielding with multi-layered waveguide-below-cutoff array using modified shielding effectiveness equation,” J. Korean Inst. Electromagn. Eng. Sci., vol. 28, no. 6, pp. 452–458, 2017.
9
Sangin Kim (S’15) received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, South Korea, in 2015, where he is currently pursuing the Ph.D. degree in electrical and electronic engineering. His current research interests include HEMP, electromagnetic shielding analysis, plasma analysis, vital sign sensor, radio-frequency systems, and electric problems caused by HEMP.
Yuna Kim (S’12) received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, South Korea, in 2012, where she is currently pursuing the Ph.D. degree in electrical and electronic engineering. Her current research interests include plasma analysis, HEMP coupling, numerical analysis based on multiphysics, including thermodynamics and electromagnetics, and electric problems caused by high temperatures in circuit.
Seung-Ki Pang was born in Seoul, South Korea. He received the B.S., M.S., and Ph.D. degrees in architectural engineering from Hanyang University, Seoul, South Korea. He is currently a Professor with the Department of Architecture, Kyungmin University, Uijeongbu, South Korea. His current research interests include protection facility, ventilation, blast pressure, indoor air quality, and sustainable architecture.
Jong-Gwan Yook (S’89–M’97–SM’12) was born in Seoul, South Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, South Korea, in 1987 and 1989, respectively, and the Ph.D. degree from the University of Michigan, Ann Arbor, MI, USA, in 1996. He is currently a Professor with the School of Electrical and Electronic Engineering, Yonsei University. His research team developed various biosensors, such as carbon-nano-tube RF biosensors for nanometer size antigen-antibody detection, as well as remote wireless vital signal monitoring sensors. His current research interests include the theoretical/numerical electromagnetic modeling and characterization of microwave/millimeter-wave circuits and components, the design of radio-frequency integrated circuits and monolithic microwave integrated circuits, and the analysis and optimization of high-frequency high-speed interconnects, including signal/power integrity (EMI/EMC), based on frequency as well as time-domain full-wave methods.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Demonstration of Scattering Suppression by a Near-Zero-Index Metamaterial Composed of Dielectric Spheres Yuma Takano , Student Member, IEEE, and Atsushi Sanada, Member, IEEE Abstract— We demonstrate microwave scattering suppression by a near-zero-index metamaterial (NZIM) composed of dielectric spheres. The NZIM is designed based on numerical simulations, and is shown by near-field measurements that the medium with dielectric spheres of yttria-stabilized zirconia with the dielectric constant 34 and the radius 1.5 mm arranged in a hexagonal lattice of the lattice constant 3.5 mm exhibits near-zero-index operations with a long wavelength of 22.51 GHz. It is also confirmed that the scattering by a defect of seven adjacent absent spheres is sufficiently suppressed by the NZIM. Index Terms— Dielectric spheres, invisibility cloaks, Mie resonance, near-zero-index metamaterials (NZIMs), scattering suppression.
I. I NTRODUCTION
N
EAR-ZERO-INDEX metamaterials (NZIMs) [1]–[12] are materials whose refractive index is almost zero. Thanks to its near-zero-index characteristics, it has been predicted that there exist unusual electromagnetic phenomena in the NZIM, such as a wave with an almost infinite wavelength, a wave with an extremely low or high impedance value, and the like, which could be used in novel microwave applications. Since the refractive index n is determined by the relative permittivity εr and permeability μr as √ (1) n = εr μr there are three types of such metamaterials: one is with almost zero permittivity, another is with almost zero permeability, and the other is with both parameters being almost zero. The relative permittivity and permeability are values defined by electric and magnetic susceptibilities, respectively, and the susceptibilities are originated by the corresponding effective dipole moments. Therefore, an NZIM can be realized by controlling effective dipole moments of its constituents. In order to artificially implement dipole moments, dielectric resonators have been utilized [5], [12], [13]. Dielectric resonators are advantageous in terms of losses compared to Manuscript received January 16, 2018; revised April 2, 2018, June 3, 2018, and July 15, 2018; accepted July 17, 2018. This paper is an expanded version from the Asia–Pacific Microwave Conference, Kuala Lumpur, Malaysia, November 13–16, 2017. (Corresponding author: Yuma Takano.) The authors are with the Graduate School of Engineering Science, Osaka University, Osaka 560-8531, Japan (email:
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2858822
resonators made of metals above the microwave frequency regions. In particular, dielectric spheres are suitable for realizing polarization independent, isotropic, and 3-D volumetric metamaterials [14]. As numerically demonstrated in [14], NZIMs can be used for scattering suppression, or so to called “electromagnetic cloaking for invisibility,” thanks to a long wavelength inside the NZIMs. The scattering suppression by a long wavelength has been discussed in the literature since the early 2000s [1]–[5]. In [1], zero phase variation at various points in the zero-index medium is demonstrated by the finite-difference time domain method. In [2] and [3], the theory of the supercoupling, anomalous tunneling effect, and field confinement has been presented, and the replication of the incoming planer wave at the output interface independently of the specific geometry of the channel has been shown. In [4], it has been shown theoretically and numerically that a zero-index metamaterial can be utilized to block wave or conceal objects completely. In [5], it has been demonstrated numerically that waves can turn through a bent waveguide with and without embedded obstacles. Scattering suppression by 3-D polarization-independent isotropic NZIMs may pave the way to a new era of microwave applications. However, there are a limited number of experimental demonstrations of the scattering suppression using NZIMs at the microwave frequency, to the best of the authors’ knowledge. In this paper, we demonstrate an NZIM operation and the scattering suppression at the K-band by an NZIM composed of dielectric spheres of yttria-stabilized zirconia (YSZ) whose dielectric constant is 34. Near-field measurements are carried out with a single-layer NZIM and an intentionally introduced defect, and the scattering characteristics are observed. In Section II, the properties of the NZIMs composed of dielectric spheres in [14] are first recalled. Then, in Section III, an NZIM is designed at the K-band, and the effective permittivity, permeability, and dispersion characteristics of the medium are shown by numerical simulations. Finally, in order to confirm the proposed NZIM’s behaviors and its scattering suppression operations, near-field measurements are conducted in Section IV. II. NZIM S C OMPOSED OF D IELECTRIC S PHERES A. Structure and Properties of the Proposed NZIMs The proposed NZIMs [14] are composed of dielectric spheres arranged in symmetric configurations such as a cubic
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. NZIMs’ configurations with high symmetries. (a) Cubic lattice configuration. (b) Hexagonal lattice configuration. Fig. 3. Electric field distribution near the zero-index frequency [14]. A plane wave is incident from the top toward the bottom. The electric field is normal to the plane. (a) Amplitude. (b) Phase.
where J and H are the Bessel and Hankel functions, respectively, k is the wavenumber, r and n are the radius and the refractive index of the sphere, respectively. Here, let ωTEres = 2π f TEres and ωTMres = 2π f TMres be the solutions of the abovementioned equations. Around the resonant frequencies, relative permeability μr (ω) and permittivity εr (ω) are expressed as Fig. 2. Resonant modes of dielectric spheres. (a) TE resonant mode. (b) TM resonant mode. Red lines: electric fields. Blue lines: magnetic fields. (c) Lorentzian-type behavior of either of the material parameters around the resonant frequency. Red solid line: real part of the material parameters. Blue dashed line: imaginary parts. f zi is the zero-index frequency.
lattice as shown in Fig. 1(a) and a hexagonal lattice shown in Fig. 1(b). Such highly symmetric configurations lead to an isotropic behavior of the medium. Also, due to its spherical symmetry, the medium’s response for electromagnetic waves is inherently polarization independent. B. Zero-Index Frequency of the Media The proposed NZIMs utilize resonant modes of the spheres as depicted in Fig. 2. Fig. 2(a) and (b) shows the TE resonant mode and TM resonant mode of a typical dielectric sphere. An electric/magnetic dipole moment is induced by the TM/TE resonance, which leads to an effective electric polarization vector or magnetization vector as the volumetric average. This is the physical explanation of why the NZIM can be realized by dielectric spheres. Here, let us recall how to determine the zero-index frequency of a resonant medium, or so to called the plasma frequency, at which permeability or permittivity becomes zero. According to the Mie theory, resonant frequencies of TE and TM resonances are solutions of the following equations [15]–[18]: (2)
TE :
TM :
H1/2(kr ) J1/2 (nkr ) 1 n2 − 1 = n (2) − J3/2 (nkr ) H3/2(kr ) kr n
(2a)
(2) J1/2 (nkr ) 1 H1/2(kr ) = J3/2 (nkr ) n H (2) (kr ) 3/2
(2b)
1 2 ωTEres − ω2 − iωγ1 1 εr (ω) = 1 + A2 2 ωTMres − ω2 − iωγ2
μr (ω) = 1 + A1
(3a) (3b)
where γ1,2 are constants for the magnetic or electric damping terms, and A1,2 are constants for the volumetric filling factors. We can obtain the zero-index frequencies TE,TM = 2π f ziTE,TM by solving (3a) and (3b). The ωzi Lorentzian-type behavior of (3a) and (3b) is shown in Fig. 2(c), in which the resulting zero-index frequency fzi is also shown. We can design the operation frequency of an NZIM composed of dielectric spheres by adjusting the lattice constant according to the radius and the dielectric constant of spheres to be used. It should be noted that, though the imaginary parts of the material parameters take the maximum at the resonant frequency, they are significantly reduced to zero at the zero-index frequency when the loss is not so high. C. Operation of NZIMs The wavelength of an electromagnetic wave approaches infinite as the frequency approaches the zero-index frequency. Consequently, the amplitude and phase are almost uniform throughout the media. Fig. 3 shows a typical electric field distribution near the zero-index frequency of a TM resonance inside an NZIM composed of dielectric spheres in a cubic lattice configuration calculated by HFSS [14]. Wave propagation with a long wavelength (λg 40a, where λg is the guided wavelength and a is the lattice constant) is seen from Fig. 3. It should be kept in mind that the local phase inside the spheres is antiphase compared with that outside, which implies the fact that the effective electric polarization vector cancels out the background electric flux density. The wavelength
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAKANO AND SANADA: DEMONSTRATION OF SCATTERING SUPPRESSION BY NZIM
3
becomes so long that an object inside the NZIM generates little scattering wave. III. S AMPLE D ESIGN In order to predict the zero-index frequency of the proposed media, we conduct numerical simulations using the finiteelement method-based HFSS simulator (ANSYS). The dielectric constant, the dielectric loss tangent, and the radius of the sphere are chosen to be 34, 0.001, and 1.5 mm, respectively, considering the specifications of YSZ which is to be used in Section IV. The dielectric spheres are arranged in a hexagonal lattice configuration with the lattice constant a = 3.5 mm, in order to make the operation frequency of the NZIM at K-band. A. Effective Material Parameters and Zero-Index Frequency Effective material parameters of a medium can be retrieved by the S-parameters of the unit cell of a medium [19], [20]. First, the S-parameters for a unit cell with a cubic lattice configuration of the lattice constant a = 3.2 mm are computed under a periodic boundary condition. The volume average electric susceptibility χcub is calculated by the effective relative permittivity εr,cub as χcub = εr,cub − 1.
Fig. 4. Retrieved effective material parameters. Red solid line: real part. Blue dashed line: imaginary part. The zero-index frequencies of TE and TM resonances are 19.8 GHz and 24.5 GHz, respectively.
(4)
The volume average electric susceptibility χhex of the medium with a hexagonal lattice configuration of the lattice constant a = 3.5 mm is obtained from that of the cubic lattice configuration by considering their volumetric filling factor ratio αff of 99.6%. The effective relative permittivity εr,hex is derived by εr,hex = χhex + 1 = αff χcub + 1.
(5)
So is the effective relative permeability. Fig. 4 shows the calculated effective material parameters of the medium. According to the real parts of material parameters shown by the red solid lines, the zero-index frequency with zero permeability originated by TE resonance results in 19.8 GHz and that with zero permittivity originated by TM resonance does 24.5 GHz. The imaginary parts shown by the blue dashed lines become less than one-tenth of the real parts near the zero-index frequencies. It should be noted that both the effective parameters become positive with a wider bandwidth around 24.5 GHz compared with that around 19.8 GHz. Therefore, in the following, we focus only on the zero-index frequency of 24.5 GHz. B. Dispersion Characteristics The dispersion characteristics of the medium are computed under 3-D Bloch–Floquet’s periodic boundary conditions for the unit cell. Fig. 5 shows the calculated dispersion characteristics along the paths between the high symmetry points in the Brillouin zone, –K, –M, and –A. It is seen from Fig. 5 that there is a propagation mode with the -point frequency of 24.5 GHz which corresponds to the zero-index frequency of interest (shown by red dots).
Fig. 5. Dispersion characteristics (simulation). Blue dashed lines: air line. Points P, Q, and R are the points with the wave numbers 2π/3, π/3, and 2π/3, respectively, which is to be discussed in the experiments in Section IV.
The field distribution at the frequency is confirmed to be the TM resonance. There is also a propagation mode with the -point frequency 21.6 GHz. According to the field distribution, this mode is identified as a mode with the electric field concentrating between spheres, which is considered to be the origin of the peak and notch in Fig. 4. The modes between 21.6 and 24.5 GHz are also identified as modes with antiphase field distributions of spheres next to each other, and thus these modes are hardly excited by a plane wave. This would be the reason why the modes do not appear in Fig. 4. Although there are other modes above 24.5 GHz, these modes tend to have even more complicated field distributions and are out of focus in this paper.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 7. Photographs of the samples used in the experiments. (a) Without a defect. (b) With a defect.
Two samples shown in Fig. 7 are prepared for the verifications of near-zero-index operation and scattering suppression: an NZIM layer without a defect and the one with a defect of seven adjacent absent spheres. The dielectric spheres of YSZ (εr = 34 and r = 1.5 mm) are used. According to additional numerical calculations, the Bloch impedance of the sample at the frequency of interest is 204π . In this case, a reflection coefficient at the interface between the NZIM and free space is calculated to be −11.7 dB, which can be reasonably ignored in the measurements. B. Near-Zero-Index Operation
Fig. 6.
(a) Schematic of the measurement setup. (b) Photograph.
IV. E XPERIMENTS A. Measurement Setups In order to confirm the operation of the NZIM and its scattering suppression operation, 2-D near-field measurements are carried out. Fig. 6 shows the measurement setup. A singlelayer NZIM supported by a Teflon sheet of the dielectric constant 2.17 and the thickness 1.0 mm is prepared on a metallic plate. The supporting Teflon sheet has an array of holes with a hexagonal arrangement of the lattice constant 3.5 mm. The diameter of the hole is 1.5 mm. In the experiments, a singlelayer NZIM is used simply because the field distribution of a TM resonance is supposed to be almost identical to that of a 3-D structure due to the symmetric property of the electric boundary condition. In addition, the 2-D configuration is suitable for the direct near-field measurements. The NZIM layer is excited by a K-band standard horn antenna polarized in the z-direction with a metallic taper with the length of 100 mm to have a transition to the medium. The z-component of the complex electric near-field distribution on the plane approximately 0.1 mm above the NZIM layer is chosen to be measured with a vector network analyzer using an electric probe controlled by an automated x yz-stage, since the component is dominant one of the TM resonance in this weakly coupled 2-D system. The total measurement√area is 48.48 mm × 49 mm with the sampling period of 3a/8 × a/4. The photograph of the measurement system is also shown in Fig. 6.
First, we measure field distributions for a uniformly arranged NZIM layer shown in Fig. 7(a) to confirm the NZIM operation. The NZIM operation is found at 22.51 GHz from the measured field distributions. The amplitude and phase distributions are shown in Fig. 8(a). The sample is excited from the top in the figure. The zero-index frequency is approximately 2 GHz lower than that of the prediction by the simulations in Section III, which is considered to be due to the dielectric loss of spheres as well as the deviation of radii, dielectric constants, and the finite sample size. In fact, the measured loss tangent of the dielectric sphere has turned out to be approximately 0.004, which is four times larger than the specification of 0.001. This is consistent with the fact that the higher the loss of the dielectric sphere, the lower the zeroindex frequency becomes according to the Lorentzian-type function of (3a) and (3b). For comparison, field distributions at 21.00, 22.63, and 23.40 GHz, which correspond to points P–R on the dispersion characteristics in Fig. 5, are also shown in Fig. 8(b)–(d), respectively. According to the spatial spectrum analysis based on the results in Fig. 8, the wave numbers at the frequencies are 0.018π, 0.64π, 0.27π and 0.52π, respectively. From Fig. 8(a), a long wavelength with in-phase spheres’ resonances shown in the red spots is clearly seen, which validates the NZIM operation as discussed in Section II-C. In contrast, from Fig. 8(b)–(d) at the other frequencies, wave propagations with wave numbers corresponding to the predicted dispersion characteristics P–R, with the wave numbers 0.67π, 0.33π, and 0.67π, respectively, are observed. According to (5), it is obvious when the relative permittivity is less than one (εr < 1), the susceptibility is negative (χ < 0), whereas when the relative permittivity is larger
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAKANO AND SANADA: DEMONSTRATION OF SCATTERING SUPPRESSION BY NZIM
Fig. 8. Measured amplitude (log scale) and phase distributions. (a) Near-zeroindex frequency of 22.51 GHz. In-phase resonances of spheres are observed, which is an evidence of NZIM. (b) 21.00 GHz (point P). (c) 22.63 GHz (point Q). (d) 23.40 GHz (point R). Dashed line A–B: center line of the sample for the attenuation constant calculation and for the amplitude distributions shown in Fig. 10.
than one (εr > 1), the susceptibility is positive (χ > 0). Thus, the sign of the susceptibility is a consequence of an inphase or antiphase resonance of the sphere compared with the incident background wave. Therefore, a patterned phase profile due to the sphere’s antiphase resonance is to be seen in the phase distribution when εr < 1 including the NZIM operation. This is exactly the case for Fig. 8(a). Incidentally, Fig. 8(b)–(d) for the points P–R is also consistent with this discussion. Considering the air line in the dispersion characteristics of Fig. 5, we can identify that point Q is in the fast-wave region √ √ with n = εr μr εr < 1, and points P and R are in the slow-wave region with n > 1. As a consequence, the patterned phase profile is seen around the upper parts in Fig. 8(c) of point Q, while the phase profile is not seen in Fig. 8(b) and (d) of points P and R, respectively. The attenuation constants on the dashed lines A–B in Fig. 8 calculated by averaging the amplitudes on the parallel lines a before and after line A–B are 41, 16, 20, and 23 Np/m for each of Fig. 8(a)–(d). The attenuation constant at the NZIM operation frequency of 22.51 GHz is the largest, which is considered to be due to the slowest group velocity. C. Scattering Suppression In order to demonstrate scattering suppression, near-field measurements for the sample with a defect shown in Fig. 7(b) are carried out. Field distributions at 22.51 GHz as well as at the other frequencies of points P–R are shown in Fig. 9. From the phase distribution in Fig. 9(a), a long wavelength with a patterned phase profile similar to Fig. 8(a) is observed regardless of the defect. On the other hand, wave propagations with the wave numbers corresponding to the predicted dispersion characteristics P–R are observed in the phase distributions of Fig. 9(b)–(d) as seen in Fig. 8(b)–(d). In order to confirm a scattering suppression operation by the NZIM quantitatively, the amplitude profiles on the lines in the center of the samples shown with the dashed lines A–B in Fig. 8 and A’–B’ in Fig. 9 at the corresponding frequencies are plotted in Fig. 10. The red solid lines are for the amplitude distributions with the defect and the blue dashed lines are for
5
Fig. 9. Measured amplitude (log scale) and phase distributions for the sample with the defect. (a) Near-zero-index frequency of 22.51 GHz. In-phase resonances of spheres are observed, which is an evidence of NZIM. (b) 21.00 GHz (point P). (c) 22.63 GHz (point Q). (d) 23.40 GHz (point R). Dashed line A’–B’: center line of the sample for the amplitude distributions shown in Fig. 10.
Fig. 10. Amplitude profiles on the lines in the center of the sample between the points A–B in Fig. 8 and A’–B’ in Fig. 9. Red solid lines: results without the defect. Blue dashed lines: results with the defect. Gray area: projection of the defect. (a) Near-zero-index frequency of 22.51 GHz. The distributions without and with the defect have similar distributions, which indicates scattering suppression. (b) 21.00 GHz (point P). (c) 22.63 GHz (point Q). (d) 23.40 GHz (point R).
the ones without the defect. It is seen from Fig. 10(a) that the amplitude profiles for the samples without and with the defect have similar profiles with almost the same averaged magnitudes, which is the result of scattering suppression. In fact, there is a certain amount of amplitude differences up to 10 dB at a couple of points, which is considered to be mainly due to the deviation of spheres’ radii. The measured local field distribution could be influenced by the inhomogeneity resulting from the deviation. On the contrary, from Fig. 10(b)–(d), amplitude profiles without and with the defect are dissimilar with each other exhibiting some amplitude decreases behind the defect due to scattering (see the gray areas). Based on these results, the scattering suppression is experimentally confirmed with the NIZM. V. C ONCLUSION Scattering suppression by the NZIM has been demonstrated. In order to design the NZIM, the effective material parameters and dispersion characteristics have been computed by
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
full-wave simulations. It has been shown that the medium has its zero-index frequency of 24.5 GHz, with dielectric spheres of the radius 1.5 mm and the dielectric constant 34 arranged in a hexagonal lattice of the lattice constant 3.5 mm. The near-zero-index operations of the NZIM have been confirmed by the near-field measurements. The NZIM operation is confirmed at 22.51 GHz, which is consistent with the predicted one by simulations in the reasonable fabrication error range. The medium’s scattering suppression operation has also been confirmed at the frequency. Even with a defect of seven adjacent absent spheres, it has been shown that the scattering is sufficiently suppressed. Since the purpose of this paper is to show the NZIM operation and its use for scattering suppression, we have demonstrated the scattering suppression only at the point frequency. In fact, the operation bandwidth of the demonstrated medium is only a few tens of megahertz. However, it could be broadened by optimizing the lattice constant to form a Dirac cone dispersion with a nonzero group velocity at the -point [5], [21], [22], which will expand an NZIMs’ application range. R EFERENCES [1] R. W. Ziolkowski, “Propagation in and scattering from a matched metamaterial having a zero index of refraction,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, no. 4, Oct. 2004, Art. no. 046608, doi: 10.1103/PhysRevE.70.046608. [2] M. Silveirinha and N. Engheta, “Tunneling of electromagnetic energy through subwavelength channels and bends using ε-near-zero materials,” Phys. Rev. Lett., vol. 97, Oct. 2006, Art. no. 157403, doi: 10.1103/PhysRevLett.97.157403. [3] M. G. Silveirinha and N. Engheta, “Theory of supercoupling, squeezing wave energy, and field confinement in narrow channels and tight bends using ε near-zero metamaterials,” Phys. Rev. B, Condens. Matter, vol. 76, Dec. 2007, Art. no. 245109, doi: 10.1103/PhysRevB.76.245109. [4] J. Hao, W. Yan, and M. Qiu, “Super-reflection and cloaking based on zero index metamaterial,” Appl. Phys. Lett., vol. 96, no. 10, Mar. 2010, Art. no. 101109. [5] X. Huang, Y. Lai, Z. H. Hang, H. Zheng, and C. T. Chan, “Dirac cones induced by accidental degeneracy in photonic crystals and zerorefractive-index materials,” Nature Mater., vol. 10, p. 582, Aug. 2011. [Online]. Available: https://www.nature.com/nmat/journal/v10/n8/pdf/ nmat3030.pdf [6] M. Silveirinha and N. Engheta, “Design of matched zero-index metamaterials using nonmagnetic inclusions in epsilon-near-zero media,” Phys. Rev. B, Condens. Matter, vol. 75, Feb. 2007, Art. no. 075119, doi: 10.1103/PhysRevB.75.075119. [7] A. Alù, M. G. Silveirinha, A. Salandrino, and N. Engheta, “Epsilon-nearzero metamaterials and electromagnetic sources: Tailoring the radiation phase pattern,” Phys. Rev. B, Condens. Matter, vol. 75, Apr. 2007, Art. no. 155410, doi: 10.1103/PhysRevB.75.155410. [8] A. Alù, M. G. Silveirinha, and N. Engheta, “Transmission-line analysis of ε-near-zero–filled narrow channels,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 78, Jul. 2008, Art. no. 016604, doi: 10.1103/PhysRevE.78.016604. [9] R. Liu et al., “Experimental demonstration of electromagnetic tunneling through an epsilon-near-zero metamaterial at microwave frequencies,” Phys. Rev. Lett., vol. 100, Jan. 2008, Art. no. 023903, doi: 10.1103/PhysRevLett.100.023903. [10] B. Edwards, A. Alù, M. G. Silveirinha, and N. Engheta, “Reflectionless sharp bends and corners in waveguides using epsilon-near-zero effects,” J. Appl. Phys., vol. 105, no. 4, Feb. 2009, Art. no. 044905, doi: 10.1063/ 1.3074506. [11] S. S. Islam, M. R. I. Faruque, and M. T. Islam, “A near zero refractive index metamaterial for electromagnetic invisibility cloaking operation,” Materials, vol. 8, pp. 4790–4804, Jul. 2015. [Online]. Available: http://www.mdpi.com/1996-1944/8/8/4790
[12] P. Moitra, Y. Yang, Z. Anderson, I. I. Kravchenko, D. P. Briggs, and J. Valentine, “Realization of an all-dielectric zero-index optical metamaterial,” Nature Photon., vol. 7, pp. 791–795, Oct. 2013, doi: 10.1038/ nphoton.2013.214. [13] Q. Zhao, J. Zhou, F. Zhang, and D. Lippens, “Mie resonance-based dielectric metamaterials,” Mater. Today, vol. 12, no. 12, pp. 60–69, Dec. 2009. [14] Y. Takano and A. Sanada, “Polarization independent isotropic near-zeroindex metamaterials composed of dielectric spheres for 3-D invisibility cloaks,” in Proc. Asia–Pacific Microw. Conf., Nov. 2017, pp. 345–348. [15] M. Gastine, L. Courtois, and J. L. Dormann, “Electromagnetic resonances of free dielectric spheres,” IEEE Trans. Microw. Theory Techn., vol. MTT-15, no. 12, pp. 694–700, Dec. 1967. [16] P. Affolter and B. Eliasson, “Electromagnetic resonances and Q-factors of lossy dielectric spheres,” IEEE Trans. Microw. Theory Techn., vol. MTT-21, no. 9, pp. 573–578, Sep. 1973. [17] C. Vandenbem and J. P. Vigneron, “Mie resonances of dielectric spheres in face-centered cubic photonic crystals,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 22, no. 6, pp. 1042–1047, Jun. 2005. [Online]. Available: http://josaa.osa.org/abstract.cfm?URI=josaa-22-6-1042 [18] C. F. Bohren and D. R. Huffman, Absorption and Scattering of Light by Small Particles. Hoboken, NJ, USA: Wiley, 2004. [19] D. R. Smith, D. C. Vier, T. Koschny, and C. M. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, pp. 036617-1–036617-11, Mar. 2005, doi: 10.1103/PhysRevE.71.036617. [20] X. Chen, T. M. Grzegorczyk, B.-I. Wu, J. Pacheco, Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, no. 1, 2004, Art. no. 016608, doi: 10.1103/PhysRevE.70.016608. [21] K. Sakoda and H. Zhou, “Role of structural electromagnetic resonances in a steerable left-handed antenna,” Opt. Express, vol. 18, no. 26, pp. 27371–27386, Dec. 2010. [Online]. Available: http://www. opticsexpress.org/abstract.cfm?URI=oe-18-26-27371 [22] K. Sakoda, “Dirac cone in two- and three-dimensional metamaterials,” Opt. Express, vol. 20, no. 4, pp. 3898–3917, Feb. 2012. [Online]. Available: http://www.opticsexpress.org/abstract.cfm?URI=oe-20-4-3898
Yuma Takano (S’17) received the B.S. degree from The University of Tokyo, Tokyo, Japan, in 2017. He is currently pursuing the M.E. degree at the Graduate School of Engineering Science, Osaka University, Osaka, Japan. His current research interests include transformation electromagnetics, invisibility cloaks, and metamaterials. Mr. Takano is a student member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was a recipient of the IEEE MTT-S Kansai Chapter Best Young Presentation Award in 2017.
Atsushi Sanada (M’95) received the B.E., M.E., and Ph.D. degrees in electrical engineering from Okayama University, Okayama, Japan, in 1989, 1991, and 1994, respectively. In 2016, he joined the Graduate School of Engineering Science, Osaka University, Osaka, Japan, where he is currently a Professor. From 1994 to 1995 and from 2002 to 2003, he was a Visiting Scholar with the University of California at Los Angeles, Los Angeles, CA, USA. He was also a Visiting Scholar with the Advanced Telecommunications Research Institute International from 2004 to 2005 and the Japan Broadcasting Corporation in 2005. His current research interests include microwave science and technologies including transformation electromagnetics, metamaterials, high-Tc superconducting devices, and ferrite devices. Dr. Sanada is a member of the European Microwave Association and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He has been serving as an elected member of the Administrative Committee of the IEEE Microwave Theory and Techniques Society since 2015.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Analysis and Design of a 200-GHz SiGe-BiCMOS Loss-Compensated Distributed Power Divider Paolo Valerio Testa , Corrado Carta, Member, IEEE, and Frank Ellinger, Senior Member, IEEE
Abstract— This paper presents the first demonstration of an active power divider operating from 100 MHz to 200 GHz. The circuit is based on a distributed architecture, where two output lines are fed replicas of the signals traveling in the shared input line. The gain element employed in the distributed divider consists of a triple-stacked cascode that exploits internal feedback to increase its equivalent transconductance. The higher transconductance toward frequency compensates the syntheticline losses, which are the main limiting factor for applications at high frequencies of this class of circuits. A tapering of the synthetic-line impedance has also been adopted to further minimize the synthetic losses. Implemented in a high-performance 130-nm SiGe-BiCMOS technology, the system requires 300 mW of dc power consumption to provide 10 dB of gain over the 3-dB bandwidth 1–180 GHz. At 100 MHz and 200 GHz, the gain decreases to 0 dB. The presented circuit improves the state of the art for distributed power dividers implemented in any integrated circuit technology by a factor 5 for both maximum frequency of operation and bandwidth. Thanks to the triple-stacked gain cell in combination with the tapered synthetic line, the circuit reaches 10 dBm of output power at 1-dB gain compression, which is the highest reported for distributed power dividers. Index Terms— BiCMOS integrated circuits (ICs), distributed amplifiers, millimeter-wave ICs, power dividers, ultra-wideband (UWB) technology.
I. I NTRODUCTION
U
LTRA-WIDEBANDS (UWBs) are important for telecommunications and remote sensing, since broad frequency bands enable at the same time high data rates and fine spatial resolutions. Unallocated widebands are abundant in the millimeter-wave spectrum that spans from 30 to 300 GHz. On the other hand, the practical use of this frequency range is limited by propagation losses in free space that become more and more severe for increasing frequency of operation. Millimeter-wave UWB communications are thus
Manuscript received January 24, 2018; revised April 8, 2018 and May 30, 2018; accepted June 5, 2018. This work was supported in part by the German Research Foundation within the framework of the research projects DAAB-Tx, AIM, and M-SPARS, in part by the Zwanzig20 Program within the framework of the research project Fast-Spot, and in part by the HAEC (subproject A01) Program and the cfAED (Resilience path) Program. This paper is an expanded version from the Asia–Pacific Microwave Conference, Kuala Lumpur, November 13–16, 2017. (Corresponding author: Paolo Valerio Testa.) The authors are with the Chair for Circuit Design and Network Theory, Technische Universität Dresden, 01069 Dresden, Germany (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2847676
Fig. 1.
General architecture of TWDs.
usually associated with limited power levels at the receiver end. To overcome this limitation, antenna arrays can be used to focus the transmission toward the direction of interest and then increasing the received power. UWBs combiners and dividers are required to realize the signal-distribution networks of these antenna arrays. Passive components have a limited bandwidth being based on quarter-wave (Wilkinson divider) and half-wave (Gysel divider) transmission lines. On the other hand, active dividers exploiting distributed amplifications can theoretically provide useful amplification from dc up to the process maximum oscillation frequency ( f max ) or—more realistically—up to two thirds of this frequency. Processes with f max above 500 GHz are now commercially available, bringing within reach systems at 300 GHz. This enables the integration of the millimeter-wave UWB antenna arrays with the front ends minimizing, in turn, the losses of the interconnections. Fig. 1 shows the architecture of the distributed power divider presented in this paper as a traveling-wave divider (TWD). The input transmission line feeds two sets of gain cells that are attached to two independent output lines. Excited by the input signal, the cells feed identical signal currents to the output lines, effectively providing the power-divider functionality while amplifying the signal. The dominant effect limiting the performance of this architecture is the capacitive load that the gain cells impose on the input line: this is twice as large as in the corresponding traveling-wave amplifier (TWA). In fact, the capacitive loading of the cells, in conjunction with their input resistance, attenuates the signal propagating in the input line. This effect is referred to as synthetic-line losses [1]. Despite the progress in the integrated circuit (IC) technology, TWDs operating above 50 GHz have been not demonstrated yet [2]–[8], while TWAs have been reported with cutoff frequencies in excess of 170 GHz [1]. On the
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
other hand, specular UWB distributed combiners have demonstrated for operation up to 220 GHz [9], [10]. The research community has acknowledged and approached this problem with novel solutions being introduced recently to extend the operation band toward high frequency. In [2], the gain cells are arranged in interleaved rather than conventional parallel style to reduce the shunt capacitance of the input transmission line and extend the divider bandwidth. The drawback of this approach is the difference in the gain response of the paths, which increases with the frequency of operation. In [3], a single-cell configuration has been adopted, minimizing the capacitive load with the minimization of the cell number to just one, as it is done for cascaded single-stage distributed amplifiers [11], [12]. Although the state-of-the-art technologies have been used in both the works (130-nm SiGe and 90-nm Si), their maximum frequency of operation is limited to 40 GHz. This paper presents the first TWD operating from the MHz range up to 200 GHz. Such high-frequency and broadband operation has been achieved by shaping the gain-cell transconductance (G m ) with positive feedback applied to a triple-cascode topology [1]; in addition, the input and output synthetic lines have been realized with a tapered distributed architecture, which further extends the frequency of operation. To demonstrate this novel approach experimentally, a circuit prototype has been fabricated on a SiGe-BiCMOS technology featuring HBTs with f max of 450 GHz. In particular, this paper extends a previous conference-proceeding version [13]. In this paper, the analysis and the design of the divider are presented in detail, while the experimental characterization, which was previously limited to small-signal measurements only, has been extended to all the main features of the circuit. Section II presents the frequency limitations of TWDs, while Section III describes the design of the presented divider. The measurement results are in Section IV, while Section V concludes this paper with a comparison against the state of the art. II. F REQUENCY L IMITATIONS OF TWD S The general structure of TWDs—shown in Fig. 1—is at its core a double TWA, where the input line is shared between two identical amplifiers. As in TWAs, the input synthetic transmission line is characterized by an attenuation constant equal to [14]–[17] 2R Z ω2 Cin in S (1) 2 where Rin is the cell input resistance, Cin is its input capacitance, ω is the signal angular frequency, is the distance between the cells, and Z S is the wave impedance of the synthetic line. Z S is defined as [14]–[17] L ZS = (2) C + Cin
α=
where C and L are the capacitance and inductance associated with a unity length of the physical transmission line. The synthetic propagation losses attenuate the signals over a distance by a factor L L = e−α .
(3)
Fig. 2. TWA schematic with annotated the synthetic propagation losses per section in the input line.
Furthermore, the input signals meet each cells after traveling different distances in the input line, as sketched in Fig. 2. The last cells of the TWA then receive less power with respect to the one close to the input of the amplifier. Due to the dependence of α from ω2 , the synthetic-line losses attenuate the signal more severely toward high frequencies, setting the upper operation frequency of distributed amplifiers. Since for TWDs the capacitive load on the input line is twice that of TWAs—two sets of cells are attached, this problem is even more severe. The synthetic losses α can be lowered with the reduction of Z S . On the other hand, this reduces the amplifier gain, which is quantified for TWAs as [15]–[17] nG m Z S 2 G0 = (4) 2 nα 2 G = G0 1 − (5) 2 where n is the number of cells and G m is the equivalent transconductance of the cells. A solution to reduce α without sacrificing the gain excessively is the tapering of the synthetic impedance consisting of a progressive reduction of Z S in the direction of propagation. This technique has been employed in the TWD presented in this paper, and it will be presented in Section III-A. A second possible solution to reduce the impact of the synthetic-line losses on the amplifier response consists in compensating them by increasing G m toward high frequency; the cells thus amplify more at frequencies, where the losses of the synthetic lines are more severe [1]. This approach has been applied to the presented design, and it will be discussed in detail in Section III-B. III. TWD D ESIGN This section illustrates the design of the presented divider. This section is subdivided to describe in detail: 1) the TWD-architecture, which targets the minimization of the synthetic-line losses with a tapering technique; 2) the cell design, which aims at the boosting of the cell transconductance to compensate the impact on the amplifier response of the synthetic-line losses; and 3) the distribution of the dc supply within the circuit with an approach suited to UWB operation. A. Tapering of the Synthetic Line Impedance The complete schematic of the divider is shown in Fig. 3. It consists of 12 gain cells, which are described in Section III-B, connected with on-chip microstrip lines.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TESTA et al.: ANALYSIS AND DESIGN OF 200-GHz SiGe-BiCMOS LOSS-COMPENSATED DISTRIBUTED POWER DIVIDER
Fig. 3.
3
Circuit schematic of the fabricated TWD: 12 gain cells are embedded in a tapered structure.
Their inductance and capacitance per unit of length are 0.5 μH/m and 80 pF/m, respectively, which corresponds a physical-line characteristic impedance of 79 . As introduced in Section II, a tapering of the synthetic-line impedance is applied to reduce the impact of the synthetic losses on the response of the TWD. Z S is gradually reduced moving from the input toward the output of the amplifier in both the input and output lines. This is achieved, as quantified in (2), reducing the distance between the cells in the direction of propagation of the input and output waves. The length of each line is annotated on the circuit schematic in Fig. 3. The initial length of the line is 100 μm, while the tapering parameter x has been set to 8 μm. A large value of x produces a compact silicon footprint, since the cells are closer to each other, and a high frequency of operation, because the reduction of the distance between the cells reduces the synthetic-line impedance and thus the synthetic line losses, as quantified in (2) and (5). On the other hand, large x also reduces the gain of the divider, which also depends on the synthetic-line impedance. The selected value of x is thus found as the optimum tradeoff between the bandwidth and the gain, which offers at the same time an |S21 | of 10 dB and a 3-dB upper corner frequency at 180 GHz. The response of the TWD in case of tapering can be still approximated by (5) with suitable adjustments. The term nα shows that at each section of the TWA, the input signal sees an attenuation constant α. In the case of tapering, on the other hand, for each section, the attenuation constant is different. This can be taken into account by modifying (5) with the summation of the attenuation in each section in place of the term nα n 1 nα αk k . (6) → 1− 1− 2 2 k=1
The attenuation in the kth section αk is calculated taking in consideration the synthetic-line characteristic impedance of
Fig. 4. Voltage probed at sections A and B of the presented TWD (Fig. 3) for the case of tapering (T) and of uniform length (U) of the transmission lines.
the section, which can be expressed as L Z S,k = . C in C + −k·x
(7)
The impact of the tapering on the amplifier response is shown in Fig. 4, where the input voltages of the cells, probed at different points, are shown for the presented design and for the case of no tapering. As it can be seen, the tapering reduces the attenuation in the input line against the conventional case; this effect is enhanced toward high frequency and cells further away from the amplifier input, where the synthetic losses are larger. Furthermore, the tapering increases the output power of the system redirecting more current to the output. In fact, since the impedance of the synthetic lines decreases toward the output, the current injection on the output lines is favored in the direction of the final load rather than the termination resistor. In the literature, several examples have reported an analytical expression of the gain for tapered distributed amplifiers [18], [19]. The theory of small reflections can be
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
Fig. 5.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Circuit schematic of the triple-cascode gain cell.
used to calculate the load seen at the output of each cell [18]. This is required to determine the amount of cell output current I O directed toward the load I O,L and the termination resistor I O,T . In the case of uniform distributed amplifiers, I O splits equally in the two directions leading to the term 1/2 in (4). In the case of tapering, the calculation of I O,T and I O,L leads to bulky equations, which are not practical design guidelines. An approximate yet sufficiently accurate and compact calculation of these currents can be done assuming that each cell sees at the output only the parallel between the two synthetic-line impedances of the sections kth and (k + 1)th, where the cell is attached. With the help of (7), the relation between I O,L and I O can be calculated as (8) I O,L = I O · T (k) √ (1 + k) · x − + ( − kx)( − kx − x) (9) T (k) = 1 − x which reduces again to 1/2 for x approaching 0. The gain of the nontapered distributed amplifier expressed in (5) can be then generalized to the case of tapering with (6), (7), and (9) as 2 2 n n 1 T (k)G m Z S,k · 1 − αk k . (10) G = 1− 2 k=1
k=1
Indeed, (10) is an approximated evaluation of the gain of tapered distributed amplifiers, but its compact expression makes it a useful tool in the design phase. Section IV presents a comparison of (10) against measurement and simulation results showing good agreement. B. Gm -Boosted Gain Cell The schematic of the employed gain cell is in Fig. 5, while the 3-D view of its layout is in Fig. 6. The cell consists of an input transistor Q 0 in the common-emitter configuration, with four stacked transistors Q 1−2,l−r in the common-base configuration. The advantages of the conventional cascode are retained by the improved version and still beneficial to the TWD performance: high isolation from the output to the input that facilitates circuit analysis and design [15], [17]; high output resistance, which minimizes the output syntheticline losses allowing to neglect them from the analysis of the circuit [15], [17]; and low Cin thanks to the small Miller
Fig. 6.
3-D view of the gain-cell layout.
Fig. 7. Simulated G m and TWD response for the presented cell, compared against the conventional approach with equal design parameters but without peaking. The synthetic-line losses of the actual design are also shown. The losses are normalized to the synthetic-line impedance and length to express them with the same measurement unit of the cell transconductance. The normalized synthetic losses α¯ and the cell transconductance have the same frequency trend, and their gradient is almost equal in the absolute value over the frequency band of interest.
multiplication of the Q 0 base–collector capacitance [15], [17]. This is beneficial, because the Cin minimization reduces in turn the synthetic-line losses, as shown by (1). The core power-divider capability is provided by the cell via the Q 1−2,l−r transistors which split the signal current of Q 0 in two and redirect the divided currents toward the two outputs of the cell. Moreover, the gain cell used in the TWD is engineered to compensate and minimize the synthetic-line losses. The compensation is achieved via a positive feedback between the inductors L e1 and L e2 and the parasitic transistor capacitances. The resonance between these elements produces the positive feedback, which in turn increases the signal currents and the cell G m toward high frequency. This effect was first introduced for TWAs in [1]. Fig. 7 shows the effect of the peaking on the effective transconductance of the cell and on the divider gain for the design values of this paper and compares them against the conventional approach without peaking. G m increases with frequency from 40 to 250 GHz. Since the TWD gain is proportional to G m , this trend has been engineered to compensate the synthetic-line losses α and—as predicted by (5)—extends toward higher values the maximum frequency of operation of the system. Furthermore, the peak
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TESTA et al.: ANALYSIS AND DESIGN OF 200-GHz SiGe-BiCMOS LOSS-COMPENSATED DISTRIBUTED POWER DIVIDER
of the cell G m at 250 GHz resulted in a rising slope of the transconductance able to precisely compensate the syntheticline losses. A different frequency for the transconductance peak would, in fact, produce a suboptimal response of the divider: the gain would decrease with frequency for a G m -peak above 250 GHz or increase for a peak below this frequency. Fig. 7 also shows the synthetic losses of the lines to illustrate these considerations. To have a meaningful comparison between the gradient of the cell G m and α, the latter is normalized to the characteristic impedance and the length of the synthetic line. The normalized attenuation constant is 2R ω2 Cin in (11) 2 and its measurement unit is the Siemens. From Fig. 7, it can be noticed that G m and α¯ have the same frequency trend and their gradients are almost equal in the absolute value. As it will be shown in Section IV by measurement, simulation, and circuit-analysis results, this condition ensures the flatness of the amplifier response. Once the synthetic losses are compensated, the remaining limiting factor to the high-frequency operation is the Bragg cutoff of the synthetic lines, which occurs at the frequency f Bragg [15], [17]
5
Fig. 8. Cross section of the gain-cell layout. Zero-ohm lines are embedded within the cell and used to route Vcas1 and Vcas2 .
α¯ =
f Bragg =
1
. π L · (C + Cin,out )
(12)
For frequencies approaching f Bragg , the response of the synthetic lines deviates from the prediction of (2) originating the ripple of |S21 | visible in Fig. 7 for the case of peaking. Conversely, the high-frequency ripple is not present when the synthetic-line losses are not compensated. In this case, in fact, as it is expressed in (5), the synthetic losses produce a gentle roll-off of the gain for increasing the frequency of operation. After f Bragg , the synthetic lines are in cutoff regime, and the propagation within them is not possible. For this reason, both the evaluations of the TWD response—peaked and not peaked—presented in Fig. 7 cross 0 dB above 200 GHz, where the cutoff takes place. The losses, beside being compensated, were also minimized lowering the equivalent input capacitance of the cell with a capacitive-division technique [17]. In detail, the capacitor Cb of 40 fF has been placed in series with the base of Q 0 . All the transistors of the cell have an emitter area of 5 × 0.9 × 0.07 μm2 ; the inductor L e1 is 9 pH and L e2 is 14 pH. Finally, the resistor Re is used to stabilize the dc value of the cell transconductance against process variations. Its value is 10 . With these design choices, the input equivalent cell resistance and capacitance Rin and Cin are 12 and 25 fF, respectively. These values, in conjunction with the simulated cell transconductance presented in Fig. 7, will be used to evaluate the gain approximation in (10). Agreement against the measurement result will be finally demonstrated in Section IV. C. DC Supply Distribution The distribution of the dc supply for wideband and millimeter-wave frequency of operation is a critical aspect
Fig. 9. Simulated characteristic line impedance and attenuation over 1 mm of the zero-ohm lines in Fig. 8 (black plots). For increasing characteristic line impedance (blue and gray traces), the attenuation of the line decreases.
of the IC design. In particular, for its impact on the system functionality, a very accurate model of the ac ground connections is required after the termination resistors Rt (Fig. 3) and at the base terminal of the transistors in the common-base configuration. Using large capacitors allows obtaining good ac ground at low frequencies, but due to the intrinsic parasitic inductance of the components, self-resonance effects have to be considered: after the self-resonance frequency (SRF), capacitors show an inductive behavior with the impedance of the same magnitude of the parasitic one. The minimization of parasitic inductance thus maximizes the SRF and minimizes the effective inductance after the component self-resonance. To solve this problem, zero-ohm lines [20] have been employed to route the dc bias voltages within the chip. The design of these lines maximizes the capacitance per unit of length while minimizing the inductance. This results in a UWB ac ground from the MHz to millimeter-wave frequency ranges. The cross section of the zero-ohm line used in this paper is shown in Fig. 8. The simulated characteristic line-impedance and the attenuation over 1 mm of the guiding structure are presented in Fig. 9. As it can be appreciated, a reduction of the characteristic impedance corresponds to an increase in the attenuation that the signals experience traveling within the line. The attenuation constant can be expressed as [21] αline =
R R Z0G ≈ + 2Z 0 2 2Z 0
(13)
where R and G are the resistance and conductance per unit of length of the line, and Z 0 is its characteristic impedance. Since G is small for integrated circuit technologies thanks to
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 10. Chip microphotograph of the fabricated TWD. The sizes are 0.6 mm × 1.3 mm, while the active area is 0.1 mm2 .
Fig. 11. Chip microphotograph of the TWD contacted with single-ended probes. The input port of the divider is contacted with port I of the measurement system, while one of the output ports of the divider is attached to port II of the setup. The third port of the divider is contacted via bond wires.
the low losses in the dielectric oxide, the attenuation of the line is dominated by the series metal loss R . As predicted by (13), an increase of the propagation losses corresponds to decreasing Z 0 . This trend is in agreement with the simulation results in Fig. 9, where the impedance of the zero-ohm line is illustrated together with its attenuation, and also the features of transmission lines with higher characteristic impedance are presented confirming the reduction of the losses.
Fig. 12. Measured (M), simulated (S), and calculated with (10) (T) S-parameters of the TWD. (a) S21 magnitude. (b) S11 and S22 magnitudes.
IV. M EASUREMENT R ESULTS The TWD has been fabricated with the 130-nm SiGe-BiCMOS process with a nominal maximum oscillation frequency fmax of 450 GHz. A picture of the circuit is shown in Fig. 10. The total area of the die is 0.8 mm2 , while the active area of the circuit is 0.1 mm2 . All the measurements presented in this section have been acquired on-chip with bias operation point ICC = 100 mA and VCC = 3 V. The parasitics associated with the pads have been taken in account during the design through electromagnetic simulations and, thus, require no deembedding from the measurement results. The S-parameters characterization of the divider gain was performed with a vector network analyzer from 200 MHz to 67 GHz; extender modules were required from 90 to 140 GHz and from 140 to 220 GHz. Due to the lack of equipment for differential S-parameters measurements above 60 GHz, the divider path gain was measured with a single-ended setup, which probes contacting the divider die are shown in Fig. 11. Fig. 12 shows the S-parameters of the TWD as measured, simulated, and calculated with (10) with all the three in good agreement. The divider provides a gain with a maximum value
Fig. 13.
Measured and simulated group delay.
of 10 dB and a 3-dB bandwidth from 300 MHz to 180 GHz. The circuit analysis proposed in Section III-A predicts correctly the gain and the 3-dB upper corner frequency. After the 3-dB upper corner frequency, a high-frequency ripple is present in the measured and simulated S21 . This behavior is due to the synthetic lines which reach the condition of Bragg cutoff [15], [17] for the signal frequency approaching 200 GHz. Conversely, the circuit analysis summarized in (10) does not predict the high-frequency ripple since it neglects the cutoff phenomenon of the synthetic lines in predicting
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TESTA et al.: ANALYSIS AND DESIGN OF 200-GHz SiGe-BiCMOS LOSS-COMPENSATED DISTRIBUTED POWER DIVIDER
Fig. 14.
7
Measured and simulated output to input isolation of the divider. Fig. 16. Comparison between (S) the measured S21 magnitude with the single-ended setup of Fig. 9 and (D) the measured S21 and S41 magnitudes with the differential setup of Fig. 15.
Fig. 15. Chip microphotograph of the TWD contacted with differential probes. The ports of the measurement system are also annotated. Port III contacts a dummy pad required for the landing of the differential probe on the input side of the divider.
their characteristic impedance Z S,k . The useful frequency band of the component, defined as the band where the gain is above 0 dB, spans from 100 MHz to 200 GHz. Fig. 12 also shows the measured and the simulated input and output matching: |S11 | is below −10 dB for the whole 3-dB frequency band, while |S22 | is below −10 dB up to 155 GHz, and it reaches −5 dB at 200 GHz. The group delay characterization has been then obtained from the phase φ21 of the measured S21 as dφ21 . (14) dω Fig. 13 shows the comparison between measurement and simulation: the group-delay variations are less than 26 ps within the 180-GHz-wide 3-dB frequency band of the divider. The output to input isolation has also been characterized, and the measurement result is shown in Fig. 14. The isolation of the device is above 30 dB up to 60 GHz, while it decreases down to the minimum value of 20 dB at 175 GHz. Of particular interest for this class of circuits is the balance between the responses of the output paths. A differential S-parameters measurement has been performed to experimentally characterize the balance between the two outputs of the components. The microphotograph of the divider die contacted by the differential probe is presented in Fig. 15. As it is shown in Fig. 15, port I of the measurement systems feeds the input of the divider, while ports II and IV acquire the outputs. Port III contacts a dummy pad, which is required to the input differential probe to land on the chip surface. Furthermore, tp = −
Fig. 17. divider.
Measured and simulated isolation between the output ports of the
although port III is not used for the measurement, this port was necessary for the differential S-parameters calibration. Fig. 16 presents a comparison between the measured |S21 | with the single-ended setup of Fig. 9 and the measured |S21 | and |S41 | with the differential setup of Fig. 15. As it can be seen, the measured responses of the divider paths are the same in both experiments. This is due to the high isolation between the output ports of the component (Fig. 17) which makes the response of each branch independent of the termination of the other port. The differential setup has also been used to characterize the gain- and insertion-phase unbalances. The measurement results are presented in Figs. 18 and 19. From 200 MHz up to 60 GHz, the measured pathgain unbalance is below 0.3 dB, while the measured insertionphase unbalance is below 4°. Such marginal asymmetries between the response of the outputs results from the symmetric divider architecture, which this paper proves superior to other approaches such as the interleaved dividers [2]. The differential S-parameters measurement setup was also employed to characterize the isolation between the output ports of the divider, which is referred to as output isolation in this paper. The experimental results are in Fig. 17. The output isolation is better than 35 dB from 200 MHz to 60 GHz. The differential measurement, which enabled the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 18.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Measured gain unbalance between the two paths of the divider.
Fig. 21. Measured and simulated input and output power in 1-dB compression of the gain versus the frequency.
Fig. 19. Measured insertion-phase unbalance between the two paths of the divider. The configuration of the measurement-system ports is shown in Fig. 15.
Fig. 22.
Measured gain-compression curve at 140 GHz.
Fig. 20.
Fig. 23.
Measured and simulated gain-compression curve at 160 GHz.
Measured gain-compression curve at 20 GHz.
characterization of the output isolation as well as the unbalance between the path responses, is presented only up to 60 GHz which is the highest frequency of the available differential setup. The large-signal characterization of the divider has been performed using the same setups of the small-signal characterizations with the addition of a power meter to calibrate and measure the input and output power of the component. The measured gain-compression curve is presented in Fig. 20 for a frequency of operation of 20 GHz, while Fig. 21 shows the input and output power at 1-dB compression of the gain, i1dBcp and o1dBcp, respectively, as a function of
frequency in the range from 10 to 30 GHz. The maximum measured i1dBcp and o1dBcp values are 1.2 and 10 dBm at 30 GHz. Such a relatively good linearity performance is achieved by the triple-stacked cascode gain cell, which allows to increase VCC enabling in turn an output swing larger than the common emitter or cascode cells [1]. At the same time, the tapered architecture allows a larger number of embedded cells without scarifying the bandwidth. This increases in turn the total collector current ICC and the input linearity of the circuit. The high values of i1dBcp and o1dBcp prevented their measurement above 30 GHz, as the available equipment was not able to provide enough power
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TESTA et al.: ANALYSIS AND DESIGN OF 200-GHz SiGe-BiCMOS LOSS-COMPENSATED DISTRIBUTED POWER DIVIDER
9
TABLE I S TATE OF THE A RT OF D ISTRIBUTED P OWER D IVIDERS
to compress the gain by 1 dB. The same issue has also limited the setups 90–140 and 140–220 GHz. In the first, the converter module was able to generate enough power to compress the gain only at 140 GHz. At this frequency, an i1dBcp of −3 dBm and an o1dBcp of 5.6 dBm were observed and are presented in Fig. 22. For the frequency range of characterization 140–220 GHz, it was not possible to compress the divider gain with the power generated by the extender module, as it is shown by the measured gain compression curve in Fig. 23 acquired for a working frequency of 160 GHz. The presented result was compared against simulation, showing good agreement in the range where it was possible to characterize the linearity of the circuit. Moreover, the simulation has enabled to estimate an o1dBcp of 5 dBm at 160 GHz. Table I presents an overview of the state of the art for distributed power dividers. The presented circuit shows the highest frequency of operation, as well as the widest bandwidth of amplification. In fact, for BiCMOS processes [3], [4], the state of the art is improved by a factor of 10 from 20 to 200 GHz for the highest operation frequency, which is defined as the frequency where the divider gain crosses 0 dB. Extending the comparison with other technologies, the highest frequency of operation reported is 40 GHz [2], which improves by a factor 5. The presented advancements are enabled by the G m -boosted gain cell and the tapered design technique, which compensate and minimize the input synthetic-line losses, identified as the main limiting factor toward applications at high frequency of this class of circuit. These techniques are also enabled a higher supply voltage and more gain cells, resulting in an improved divider linearity and the highest o1dBcp so far reported. Finally, the symmetric layout and architecture of the divider is ensured low unbalance between the responses of the output ports with a superior performance compared with asymmetric approaches [2].
V. C ONCLUSION A power divider for UWB applications, based on distributed amplification techniques, has been designed and fabricated with a 130-nm SiGe-BiCMOS process in an active area of 0.1 mm2 . Consuming 300 mW, the circuit provides 10-dB gain over the 3-dB bandwidth 300 MHz–180 GHz, which crosses 0 dB at 100 MHz and 200 GHz. The circuit analysis has been provided to express the path gain with an approximate, yet compact and accurate, formula suited for tapered distributed amplifiers. The design of the divider has been presented in detail, focusing on the G m -boosted gain cell, based on a triple-stacked cascode topology. This was used for the first time in this paper to compensate the syntheticline losses and extend the upper frequency of operation of distributed dividers. R EFERENCES [1] P. V. Testa, G. Belfiore, R. Paulo, C. Carta, and F. Ellinger, “170 GHz SiGe-BiCMOS loss-compensated distributed amplifier,” IEEE J. SolidState Circuits, vol. 50, no. 10, pp. 2228–2238, Oct. 2015. [2] C.-Y. Huang and R. Hu, “DC-40 GHz wideband active power splitter design with interleaved transmission-line gain cells,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Nanjing, China, Dec. 2015, pp. 1–3. [3] M.-K. Cho, I. Song, I. Ju, and J. D. Cressler, “A compact, active SiGe power divider with multi-octave bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 11, pp. 945–947, Nov. 2016. [4] I. Song, M.-K. Cho, J.-G. Kim, and J. D. Cressler, “A SiGe-BiCMOS Wideband (2–22 GHz) active power divider/combiner circuit supporting bidirectional operation,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4676–4684, Dec. 2016. [5] J.-Y. Huang, H.-I. Wu, R. Hu, C. F. Jou, and D.-C. Niu, “A DC-20 GHz CMOS active power divider design,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Yokohama, Japan, Dec. 2010, pp. 524–526. [6] M. Alfredson, A. Ouacha, and R. Jonsson, “Broadband bidirectional active MMIC power splitter and combiner for feed networks,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Taipei, Taiwan, Dec. 2001, pp. 135–138. [7] R. S. N’Gongo, K. Y. Varma, P. Ratna, and V. S. Kirty, “DC-to40 GHz MMIC traveling wave power splitter for airborne systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, USA, Jun. 2008, pp. 467–470.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
[8] A. Safarian, L. Zhou, and P. Heydari, “CMOS distributed active power combiners and splitters for multi-antenna UWB beamforming transceivers,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1481–1491, Jul. 2007. [9] P. V. Testa, C. Carta, and F. Ellinger, “Analysis and design of a 220-GHz wideband SiGe BiCMOS distributed active combiner,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 10, pp. 3049–3059, Oct. 2016. [10] P. V. Testa, C. Carta, and F. Ellinger, “220 GHz wideband distributed active power combiner,” in Proc. IEEE Asia–Pacific Microw. Conf. (APMC), Nanjing, China, Dec. 2015, pp. 1–3. [11] P. V. Testa, R. Paulo, C. Carta, and F. Ellinger, “250 GHz SiGe-BiCMOS cascaded single-stage distributed amplifier,” in Proc. IEEE Compound Semiconductor IC Symp. (CSICS), New Orleans, LA, USA, Oct. 2015, pp. 1–4. [12] P. V. Testa, C. Carta, and F. Ellinger, “180 GHz low-power bandwidthenhanced BiCMOS cascaded single-stage distributed amplifier,” in Proc. IEEE Wireless Microw. Technol. Conf. (WAMICON), Cocoa Beach, FL, USA, Apr. 2015, pp. 1–3. [13] P. V. Testa, C. Carta, and F. Ellinger, “200 GHz SiGe-BiCMOS loss-compensated distributed power divider,” in Proc. IEEE Asia– Pacific Microw. Conf. (APMC), Kuala Lumpar, Malaysia, Nov. 2017, pp. 295–298. [14] Y. Ayasli, R. L. Mozzi, J. L. Vorhaus, L. D. Reynolds, and R. A. Pucel, “A monolithic GaAs 1–13-GHz traveling-wave amplifier,” IEEE Trans. Microw. Theory Techn., vol. 30, no. 7, pp. 976–981, Jul. 1982. [15] F. Ellinger, “60-GHz SOI CMOS traveling-wave amplifier with NF below 3.8 dB from 0.1 to 40 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 553–558, Feb. 2005. [16] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2012, ch. 12, sec. 4, p. 588. [17] B. Agarwal et al., “112-GHz, 157-GHz, and 180-GHz InP HEMT traveling-wave amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2553–2559, Dec. 1998. [18] A. Arbabian and A. M. Niknejad, “Design of a CMOS tapered cascaded multistage distributed amplifier,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 4, pp. 938–947, Apr. 2009. [19] G. Nikandish and A. Medi, “A 40-GHz bandwidth tapered distributed LNA,” IEEE Trans. Circuits Syst. II, Exp. Briefs, to be published. [Online]. Available: https://ieeexplore.ieee.org/document/8057261/ [20] P. V. Testa, C. Carta, B. Klein, R. Hahnel, D. Plettemeier, and F. Ellinger, “A 210-GHz SiGe balanced amplifier for ultrawideband and low-voltage applications,” IEEE Microw. Compon. Lett., vol. 27, no. 3, pp. 287–289, Mar. 2017. [21] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2003.
Paolo Valerio Testa was born in Nocera Inferiore, Italy, in 1987. He received the B.S. and M.S. degrees (Hons.) in electrical engineering from the University of Naples Federico II, Naples, Italy, in 2009 and 2012, respectively. He is currently pursuing the Ph.D. degree at the Technische Universität Dresden, Dresden, Germany. In 2012, he was with the Fraunhofer Institute for High Frequency Physics and Radar Techniques, Wachtberg, Germany, where he was involved with synthetic aperture radar algorithms implemented in multiple NVIDIA graphic processing units. In 2013, he joined the Photonics and Semiconductor Nanophysics Group, Technische Universiteit Eindhoven, Eindhoven, The Netherlands, focusing on integrated plasmonpolaritons nanolasers. Since 2014, he has been with the Chair for Circuit Design and Network Theory, Technische Universität Dresden. His current research interests include ultrawideband receivers for sub-THz applications based on distributed amplification techniques.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Corrado Carta (S’03–M’05) was born in Cagliari, Italy. He received the master’s degree in electrical engineering from the University of Cagliari, Cagliari, in 2000, and the Ph.D. degree from ETH Zürich (ETHZ), Zürich, Switzerland, in 2006. From 2000 to 2006, he was with the Microwave Electronics Group, ETHZ, where he was involved in the silicon-based RFIC design for microwave wireless communications. From 2006 to 2008, he was with the High-Speed Electronics Group, Electrical and Computer Engineering Department, University of California at Santa Barbara, Santa Barbara, CA, USA, where he was involved in the design of silicon-based integrated-circuits for very large millimeter-wave phased arrays. In 2008, he joined Sonos, Inc., Santa Barbara, CA, USA, where he led the RF Engineering and Compliance Team, involved in the development and characterization of the wireless interface of new and existing products. In 2010, he joined the Chair for Circuit Design and Network Theory, Technische Universität Dresden, Dresden, Germany, where he is currently leading the Millimeter-Wave IC Design Group and the BeyondMoore Electronics Group.
Frank Ellinger (S’97–M’01–SM’06) was born in Friedrichshafen, Germany, in 1972. He received the diploma degree in electrical engineering from the University of Ulm, Ulm, Germany, in 1996, and the M.B.A. and Ph.D. degrees in electrical engineering and Habilitation degree in high-frequency circuit design from ETH Zürich (ETHZ), Zürich, Switzerland, in 2001 and 2004, respectively. From 2001 to 2006, he was the Head of the Electronics Laboratory, RFIC Design Group, ETHZ, and a Project Leader of the IBM/ETHZ Competence Center for Advanced Silicon Electronics, IBM Research, Rüschlikon, Switzerland. Since 2006, he has been a Full Professor and the Head of the Chair for Circuit Design and Network Theory, Technische Universität Dresden, Dresden, Germany. He has been a Coordinator of the projects RESOLUTION, MIMAX, ADDAPT, and FLEXIBILITY funded by the European Union. He coordinates the cluster project FAST with over 90 partners and the priority program FFlexCom of the German Research Foundation. He has authored or co-authored over 430 refereed scientific papers. He authored the lecture book Radio Frequency Integrated Circuits and Technologies (Springer, 2008). Dr. Ellinger was an elected IEEE Microwave Theory and Techniques Society Distinguished Microwave Lecturer from 2009 to 2011. He was a recipient of several awards, including the Vodafone Innovation Award, the Alcatel Lucent Science Award, the IEEE Outstanding Young Engineer Award, the ETH Medal, the Denzler Award, the Rohde& Schwarz/Agilent/Gerotron EEEf-COM Innovation Award (twice), and the Young Ph.D. Award of ETHZ.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
An Ultra-Wideband Fast Frequency Ramp Synthesizer at 60 GHz With Low Noise Using a New Loop Gain Compensation Technique Marcel van Delden , Student Member, IEEE, Nils Pohl , Senior Member, IEEE, and Thomas Musch, Member, IEEE
Abstract— Phase-locked loops (PLLs) for ultra-wideband, low noise, and linear frequency ramp synthesis exhibit a wide variation of the loop gain, if no compensation method is applied. This impairs the performance of the PLL and the corresponding microwave measurement systems. To overcome the disadvantages of existing compensation techniques, we present a new compensation method based on a phase-frequency detector gain modulation. This offers low hardware complexity and avoids additional noise. Furthermore, we present an ultra-wideband, low noise monolithic microwave-integrated circuit for 60-GHz PLLs. Based on this, a 60-GHz frequency synthesizer with a modulation bandwidth of 22 GHz and a jitter of less than 79 fs at the center frequency are realized. The new compensation technique reduces the variation of the loop gain from 14.2:1 to 1.67:1 and is compared with an existing compensation technique utilizing a voltage-dependent damping network, which reduces the variation of the loop gain to 1.78:1. Due to the reduction of the variation of the loop gain, the maximum ramp slope increases from 22 GHz/2.9 ms up to 22 GHz/0.35 ms. In addition, the time jitter of the output signal of the PLL decreases by up to 18%. Furthermore, the PLL performance is constant in the temperature range from 0 ◦ C to 70 ◦ C. Index Terms— Closed-loop systems, microwave circuits, millimeter-wave radar, phase-frequency detector (PFD), phaselocked loops (PLLs), voltage-controlled oscillators (VCOs).
I. I NTRODUCTION
M
ICROWAVE measurement systems based on linear frequency ramps offer a high resolution, precision, and accuracy. Well-known applications for the linear frequencymodulated continuous-wave (FMCW) measurement principle Manuscript received January 16, 2018; revised April 6, 2018 and May 24, 2018; accepted June 5, 2018. This work was supported in part by the German Federal Ministry of Education and Research (BMBF) within the framework of the project RAWIS under Grant 13N13232 and in part by the DFG Open Access Publication Funds of Ruhr University Bochum. This paper is an expanded version from the Asia–Pacific Microwave Conference, Kuala Lumpur, Malaysia, November 13–16, 2017. (Corresponding author: Marcel van Delden.) M. van Delden and T. Musch are with the Institute of Electronic Circuits, Ruhr University Bochum, 44801 Bochum, Germany (e-mail:
[email protected]). N. Pohl is with the Institute of Integrated Systems, Ruhr University Bochum, 44801 Bochum, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2851991
are distance and velocity measurements by means of FMCW radar systems [1] as well as fast vector network analysis [2]. Moreover, this measurement principle can also be applied for various applications, such as material characterization [3], biomedical sensing [4], or plasma diagnostics [5]. The bandwidth, phase noise, and ramp linearity of the microwave measurement signal are the limiting factors for the system performance in terms of resolution, precision, and accuracy [6], [7]. The phase-locked loop (PLL) is the most appropriate and widely used approach for microwave frequency synthesizers in such measurement systems. It offers the generation of ultrawideband, low noise, and highly linear microwave frequency ramps. There are two possibilities to obtain a frequency ramp at the output of a PLL. On the one hand, a frequency ramp can be applied as a reference signal [8]. On the other hand, the division factor in the feedback loop of the PLL can be modulated [9], [10]. In any case, increasing the bandwidth is beneficial regarding a high system performance. However, this causes several challenges concerning the microwave circuit design. The realization of a wideband voltage-controlled oscillator (VCO) is one major issue. Since wideband VCOs exhibit a high variation of the VCO gain over the output frequency [10], [11], which is increasing with the tuning range, the loop gain of the PLL also varies in a wide range over the output frequency. Thus, the loop filter design can only be optimized for a small range of the output frequency, which is typically close to the center frequency. The loop bandwidth and phase margin of the PLL varies over the output frequency [10], which leads to a reduced phase noise performance outside of the small optimized frequency range [12]. Furthermore, this decreases the maximum ramp slope of the total PLL [13]. In research, three techniques to compensate the variation of the loop gain and thus reduce the mentioned drawbacks are already applied to PLL-based wideband frequency ramp synthesizers. Fig. 1 shows the first technique, which is described in detail in [10]. This so-called offset PLL utilizes an additional local oscillator and mixer in the feedback loop of the PLL. By this means, the output frequency of the VCO is downconverted in reverse frequency position before it is applied to the frequency divider. Therefore, an increasing
0018-9480 © 2018 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Fig. 1. Block diagram of the offset PLL with a downconversion of the PLL output signal in reverse frequency position to compensate the variation of the loop gain.
Fig. 2. Block diagram of the PLL with a dual-loop structure to compensate the variation of the loop gain.
division factor N causes a decreasing output frequency. If the division factor is modulated in order to generate a frequency ramp, the modulation is now contrary to the variation of the VCO gain and reduces the loop gain variation. Besides this, the division factor is reduced, which additionally increases the phase noise performance. However, the profile of this compensation is not adjustable to different profiles of VCO gain variations. Moreover, this technique can only be applied if the frequency ramp is generated by a division factor modulation. If the reference signal is a frequency ramp, this technique cannot be applied or has no effect, respectively. Furthermore, the additional local oscillator also requires a dedicated, second PLL. Thus, the hardware effort and complexity as well as the power consumption increase remarkably, especially in the case of systems with multiple synthesizers, such as an MIMO radar. The second technique is shown in Fig. 2 and described in detail in [14] and [15]. It utilizes two feedback paths to the VCO and thus creates a dual-loop structure. While the wideband integral path with the loop filter Fc (s) is used for coarse tuning, the narrowband proportional path with the loop filter F f (s) is used for fine tuning. This technique enables a good and adjustable compensation of the loop gain for different VCO designs. However, it requires an advanced VCO design with two tuning mechanisms and inputs. This is usually only available if a dedicated VCO is designed. Although one phase-frequency detector (PFD) with two charge pumps instead of two entire PFDs can be used, the additional hardware effort is still considerable. Fig. 3 shows the third technique, as described in [12] and [16]. It introduces a voltage-dependent damping network (VDDN) between the output of the loop filter and the tuning input of the VCO. The VDDN consists of cascaded diodes, which are parallel to resistors. This results in a voltagedependent voltage divider. However, the transfer function of
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 3. Block diagram of the PLL with a VDDN to compensate the variation of the loop gain.
the VDDN is not stepped. Instead, it is smoothed by the exponential characteristic of the diodes. Thus, this technique enables a wide adjustability of the compensation to different profiles of VCO gain variations. In any case, an active loop filter with a reasonably higher supply voltage is required compared to the case without VDDN. Besides the need for an additional high supply voltage with low noise, only operational amplifiers exhibiting a higher noise contribution are applicable. Furthermore, a technique to adjust the transfer function of the PLL components to different output frequencies in case of static frequencies is already known. For this purpose, a charge pump with a programmable output current is used as described in [17] and [18]. By programming the output current contrary to the VCO gain variation, a compensation of the loop gain over the output frequency can be achieved. However, the hardware effort and complexity would increase remarkable, as the charge pump current has to be controlled and programmed by an additional external logic. Especially for frequency ramps, this would require a very fast logic. Moreover, the modulation of the charge pump current is usually realized by switching different current sources. Thus, the output current can exhibit spurious during a frequency ramp, which could influence the linearity of the ramp. In general, a PLL with a combination of bipolar PFD and active loop filter but without charge pump can achieve a better noise performance than a PLL with a charge pump, which holds especially true for fractional-N PLLs [19]. In [20], we basically presented the concept of our new approach to compensate the loop gain variation overcoming the disadvantages of the existing concepts and simulated a 60-GHz PLL based on the measured characteristics of single components. In Section II, we expand the concept by detailed theoretical background. In Section III, we present the monolithic microwave-integrated circuit (MMIC)-based components for an ultra-wideband 60-GHz synthesizer, which is used to demonstrate the new compensation technique. Compared to [20], these components are improved, especially concerning the modulation bandwidth, and characterized by new and more detailed measurements. In our previous work, only final simulation results of the PLL with our new compensation technique are presented, whereas, in Section IV, we describe the simulation-aided design of the PLL and the compensation based on the new approach as well as on a VDDN in detail. This is supported by the measurements of the compensation network (CN) and VDDN. In Section V, we present and discuss the obtained results of the realized synthesizer and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN DELDEN et al.: ULTRA-WIDEBAND FAST FREQUENCY RAMP SYNTHESIZER AT 60 GHz WITH LOW NOISE
3
which is due to the typical characteristic of a varactor [21]. It can be observed, e.g., in [10] and [11] as well as in Section III. This is beneficial, as (5) and (4) are multiplied in (2). Thus, the realization of CN as a simple linear network generating Vc = Vc,0 + Vc · Vt
(5)
G PV = K PFD · K VCO = G 0 + G 1 · Vt + G 2 · Vt -1
(6)
results in a factor Fig. 4. Block diagram of the PLL with the new approach for the compensation of the loop gain variation.
with the compensation. This includes loop gain, frequency ramp, and phase noise measurements. II. C ONCEPT Concerning a commonly known single-loop PLL, the loop gain G loop(s) and the transfer function ϕVCO (s)/ϕref (s) in the frequency domain are K VCO · K PFD · F(s) N ·s N · G loop(s) ϕVCO (s) = ϕref (s) 1 + G loop(s) G loop(s) =
(1) (2)
where N is the division factor of the frequency divider, F(s) is the transfer function of the loop filter, K VCO is the VCO gain, and K PFD is the PFD gain. This small-signal model assumes a linearized and constant VCO gain K VCO . However, in wideband PLLs, the VCO gain K VCO is not constant over the output frequency, as described in Section I. Moreover, also N may vary over the output frequency if a frequency ramp is generated by division factor modulation. Thus, (2) and (2) are depending on the output frequency f VCO . The essential idea of the proposed new compensation technique is the modulation of the PFD gain K PFD contrary to the variation of the loop gain without compensation. This means the modulation of K PFD is contrary to the variation of the factor K VCO /N over the output frequency. Therefore, the PFD offers an additional input for the voltage Vc , which controls the PFD gain K PFD in a linear way K PFD = K PFD,0 + K PFD · Vc .
(3)
In order to modulate the PFD gain as desired, the VCO gain K VCO and the division factor N have to be known precisely. The relation between K VCO , N, and the output frequency f VCO can be measured and is well known in advance. Moreover, the output frequency f VCO is directly related to the tuning voltage Vt . Thus, we use the tuning voltage in combination with a CN with the transfer function Hc (s) to generate the PFD gain control voltage Vc . This introduces a second feedback loop in the PLL, as shown in Fig. 4. Admitting CN to be nonlinear, we can compensate an almost arbitrary variation of the loop gain. However, numerous wideband VCOs exhibit a VCO gain, which can be approximated by K VCO = K VCO,0 +
K VCO Vt
(4)
G 0 = K VCO,0 · (K PFD,0 + K PFD · Vc,0 ) + K VCO · K PFD · Vc G 1 = K VCO,0 · K PFD · Vc
(7) (8)
G 2 = K VCO · (K PFD,0 + K PFD · Vc,0 ).
(9)
In the case without the new compensation technique, G PV would be equal to the multiplication of (4) with a constant factor K PFD,0 . Thus, it would consist of a constant as well as an inversely proportional part and exhibit the same variation as the VCO gain. However, in the case of the new compensation technique according to (6), G PV consists of a constant (G 0 ), an inversely proportional (G 2 ), and a proportional (G 1 ) part due to the modulation of the PFD gain. As a result, (6) exhibits the minimum G PV,min = G 0 + 2 · (G 1 · G 2 )1/2
(10)
and the maximum G PV,max = G 0 + G 1 · Vt,max/min + G 2 · Vt,max/min–1
(11)
where it depends on the parameters G 1 and G 2 of the realized PLL, whether the minimum tuning voltage Vt,min or the maximum tuning voltage Vt,max has to applied to (11). The proportional part in (6) can be used to minimize the influence of the inversely proportional part by optimizing the parameters of the CN Vc,0 and Vc . Therefore, the corresponding optimization problem is G PV,max (Vc,0 , Vc ) | 0 ≤ Vc ≤ 1 ∧ min G PV,min (Vc,0 , Vc ) (Vc,min − Vc · Vt,min ) ≤ Vc,0 ≤ Vt,min . (12) Theoretically, the constant part of the CN is not required (Vc,0 = 0) to minimize G PV,max /G PV,min . However, the input of the control voltage of a realized PFD will always require a certain minimum Vc,min . This is ensured with a constant offset voltage Vc,0 ≥ (Vc,min − Vc · Vt,min ). The conditions Vc,0 ≤ Vt,min and 0 ≤ Vc ≤ 1 in (12) allow for a passive realization of CN. If a more complex, active realization of CN is affordable, the boundaries of these conditions could be chosen different according to the properties of the desired components of CN. However, the stability investigations for the complete PLL are impeded by the second feedback loop. The tuning voltage
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 5. Photograph of the realized MMIC, including a VCO, a PFD, as well as a frequency divider.
Fig. 6. Measured tuning curve of the VCO (left) and deviated VCO gain K VCO (right) at different temperatures.
cannot be expressed in closed form Vt (s) = F(s) · [K PFD,0 + K PFD · Hc (s) · Vt (s)] K VCO,0 · Vt (s) + K VCO ∗ ϕref (s) − (13) N ·s as the input value is multiplied with the feedback value of the second loop. This nonlinear feedback loop prohibits a general closed-form solution [22]. Thus, we implemented a simulator to investigate the stability of the PLL numerically. The simulator is based on MATLAB and Simulink and simulates the PLL in the time domain. The simulation model uses the block diagrams and signals in Figs. 1, 3, and 4, depending on which compensation technique is applied. The VCO consists of a lookup table to model the nonlinear VCO gain and an integrator. A subtractor and a variable gain stage are the basic model elements of the PFD. The model of the frequency divider is a gain stage with a gain of 1/N. The loop filter is modeled using its transfer function F(s). For the compensation techniques, CN and VDDN are implemented as lookup tables. However, these are only the basic model elements and more elements are included to model the entire behavior of the single components. III. C OMPONENTS R EALIZATION We designed an MMIC for an ultra-wideband 60-GHz PLL utilizing our new loop gain variation compensation technique. It is implemented in Infineon’s SiGe:C BiCMOS technology B11HFC offering ft / f max = 250/370 GHz [23]. Fig. 5 shows the photograph of the realized MMIC. It contains an improved VCO, a PFD, as well as a feedback loop frequency divider. Furthermore, all components are fully differential. In order to obtain a high tuning range in combination with low phase noise, the VCO is based on a fully differential Colpitts architecture with a double varactor and a cascode stage, as shown in [10]. The output frequency f VCO can be continuously tuned in the range from 50 to 72 GHz, as shown in Fig. 6. The obtained absolute tuning range of BVCO = 22 GHz corresponds to a high relative tuning range of BVCO,rel = 36%. In addition, the VCO offers a low phase noise L VCO , as shown in Fig. 7. At room temperature (T = 20 ◦ C), it is below −95 dBc/Hz over the entire output frequency range and −105 dBc/Hz at the center frequency. Concerning a
Fig. 7. Measured phase noise of the free running VCO at an offset frequency from the carrier of f = 1 MHz as a function of the output frequency f VCO at different temperatures.
temperature range from 0 ◦ C to 70 ◦ C, the VCO’s phase noise increases by a maximum of 5 dB over the entire output frequency range. However, the VCO exhibits a high variation of the VCO gain K VCO,max /K VCO,min of 14.2:1. Fig. 6 shows that it can increase to a maximum of 16.3:1 in the temperature range from 0 ◦ C to 70 ◦ C. The parameters for the suggested approximation in (4) can be extracted with K VCO,0 = −1 GHz/V and K VCO = 15.5 GHz. Applying these parameters to (4) results in an approximation, which is in good agreement with the measurements, as shown in Fig. 6. The architecture of the PFD is comparable to [1] and implemented in emitter-coupled logic to handle high input frequencies [24]. This is beneficial concerning the phase noise performance due to a lower frequency division factor N and, in case of fractional operation, due to the very high PFD linearity. Moreover, we extended the PFD by a modified output stage. It is shown in Fig. 8 and allows for the modulation of the PFD gain. The control voltage Vc directly modulates the reference current of the output stage. Thus, the output voltage Ve and the PFD gain K PFD are related very linearly to the control voltage Vc . This output characteristic is shown in Fig. 9, where the parameters for (5) can be extracted with K PFD,0 = −0.6/(2π) V · rad−1 and K PFD = 0.66/(2π) rad−1 , concerning room temperature. In the temperature range from 0 ◦ C to 70 ◦ C, the PFD gain K PFD varies only by a maximum of 0.066/(2π) V · rad−1 . The utilized frequency divider is also implemented in emitter-coupled logic and described in detail in [25].
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN DELDEN et al.: ULTRA-WIDEBAND FAST FREQUENCY RAMP SYNTHESIZER AT 60 GHz WITH LOW NOISE
Fig. 8. PFD output stage for a modulation of the PFD gain (left) and CN realized as a resistive voltage divider with a diode in series to generate a constant offset voltage (right).
Fig. 9. Left: measured, low pass filtered output voltage of the PFD Vd with f ref = 425 MHz and f v = f ref − 1 MHz at different, exemplary control voltages Vc . Right: deviated PFD gain K PFD as a function of the control voltage Vc at different temperatures.
It operates at input frequencies up to 80 GHz and is fully programmable in the range of 12 ≤ N ≤ 259. Moreover, it offers the capability for fractional modulation as well as synchronizing of multiple PLLs. The architecture of the generator of the reference signal fref is based on [12]. It consists of the same frequency divider as in the feedback loop of the PLL, which is fed by an input signal with a fixed frequency of f0 = 20 GHz, and a digital logic to control the division factor R. Since the reference frequency is f0 , 12 ≤ R ≤ 259 (14) R it offers a wide frequency range from 77 MHz to 1.6 GHz. In general, this concept enables a very high relative bandwidth of the reference signal f ref =
Rmax − Rmin = 189%. (15) Rmax + Rmin We use this generator with a -modulated division factor R in order to generate a frequency ramp reference signal. The further advantages are the 20 log10 (R) reduced phase noise and the binary output signal. Thus, the reference signal can be distributed to multiple PLLs with moderate effort. Bref,rel = 2 ·
IV. PLL AND C OMPENSATION D ESIGN In this PLL, we use an active differential third-order loop filter applying the operational amplifier LT6200, due to its excellent noise performance. As our own designed PFD enables
5
Fig. 10. Simulated contributions and total phase noise L of the PLL as a function of the offset frequency from the carrier f at the design output frequency f VCO,d = 60 GHz.
Fig. 11. Simulated magnitude and phase of the loop gain G loop of the PLL as a function of the offset frequency from the carrier f at the design output frequency f VCO,d = 60 GHz.
high reference frequencies, the division factor of the feedback loop is chosen to be N = 128. This results in a reference frequency f ref in the range of 390.6–562.5 MHz. Applying the measurement results of the microwave components presented in Section III, we designed and analyzed the PLL in detail utilizing our MATLAB-based simulator. The simulator is able to consider VCO gain variations as well as the three discussed loop gain compensation techniques in Figs. 1, 3, and 4. The PLL is optimized at the design frequency fVCO,d = 60 GHz with respect to the best phase noise performance in terms of the minimal jitter. This results in a loop bandwidth of Bloop = 1.5 MHz. In order to ensure high ramp slopes, we choose a phase margin of φloop = 55◦ . To ensure comparability, the PFD gain K PFD of the PLL without and with compensation is equal at the design frequency. Thus, the loop filter design as well as the PLL performance at f VCO,d = 60 GHz are equal for the PLL without and with the compensation. Figs. 10 and 11 show the resulting phase noise L and loop gain G loop as a function of the offset frequency from the carrier f , respectively. The shape of the loop gain magnitude |G loop( j 2π f )| is independent of the PLL’s output frequency. Thus, we will describe the loop gain variation over the output frequency f VCO and the effect of the compensation at a fixed offset frequency from the carrier f = 100 kHz. Without any
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Simulated and measured characteristic of the CN (left) as well as the resulting modulation of the PFD gain K PFD as a function of the output frequency f VCO (right).
Fig. 14. Simulated and measured characteristic of the VDDN (left) as well as the resulting tuning curve of the VCO (right).
Fig. 13. Measured, normalized PFD gain ||K PFD || and normalized VCO gain ||K VCO || as well as the calculated factor ||G PV || = ||K PFD · K VCO || of the PLL with the new compensation technique as a function of the tuning voltage Vt .
compensation, the variation of the loop gain equals the variation of the VCO gain of 14.2:1 at room temperature. In order to compensate this high loop gain variation, we insert the linear feedback network CN for the PFD control voltage Vc as proposed in Section II. It consists of a single diode and two resistors, as shown in Fig. 8. The diode creates the constant offset voltage Vc,0 corresponding to its threshold voltage, whereas the resistors realize a resistive voltage divider to set the factor Vc , with which the tuning voltage Vt is fed back to the PFD control voltage Vc . In order to compensate the loop gain appropriate, we designed CN according to (12) resulting in Vc (t) = 0.7V + 0.43 · Vt (t).
(16)
Fig. 12 shows the measured characteristic of this network, which is in good agreement with the simulation. However, the offset voltage and the voltage division ratio have to be optimized according to (12) for each VCO design with its characteristic tuning curve. Therefore, other diode types with different threshold voltages or a cascade of diodes can be used, and the ratio of the resistors Rc,1 and Rc,2 can be changed. Fig. 13 shows the normalized PFD gain ||K PFD || and the normalized VCO gain ||K VCO || of the PLL with the new compensation technique as a function of the tuning voltage Vt . As a result of the PFD gain modulation and optimization of CN, the relative variation of the calculated factor ||G PV || = ||K PFD · K VCO || for the PLL with the new compensation
Fig. 15. Top: photograph of the synthesizer. Bottom left: detailed photograph of the RT5880 substrate. Bottom right: detailed photograph of the FR4 PCB.
technique is reduced by a factor of 8.8 compared to the one without compensation technique. The latter would be equal to the normalized VCO gain. Furthermore, we also designed a VDDN for comparison of the compensation of the loop gain. In this case, an appropriate compensation requires nine stages, each with three diodes parallel to one resistor. The resistor values are distributed logarithmically over the stages. This results in an exponential transfer function of the VDDN and approximates the inverse function of the tuning curve in Fig. 6. The measured and simulated characteristic of the VDDN is shown in Fig. 14. The deviation of measurement and simulation at high input voltages Vd are caused by an insufficient model of the single diodes, which was delivered by the manufacturer. However, the VDDN requires a maximum input voltage of Vd,max = 27.3 V, which is 3.4 times higher than the maximum tuning voltage. Thus, the supply voltage of the loop filter must be increased by the same factor and we had to use the THS4031 instead of the LT6200. The THS4031 has a higher maximum supply voltage but exhibits a slightly decreased noise performance (Vnoise,LT6200 = 0.9 nVHz−1/2 and Vnoise,THS4031 = 1.6 nVHz−1/2 ). Fig. 15 shows a photograph of the synthesizer consisting of the reference ramp generator, a Rogers RT/duiroid 5880
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN DELDEN et al.: ULTRA-WIDEBAND FAST FREQUENCY RAMP SYNTHESIZER AT 60 GHz WITH LOW NOISE
7
Fig. 16. Loop gain magnitude as a function of the output frequency f VCO at an offset frequency from the carrier of f = 100 kHz for the PLL without a compensation, the PLL with the new compensation, and the PLL with VDDN compensation. Fig. 18. Measured output frequency f VCO (left) and tuning voltage Vt as well as PFD gain control voltage Vc (right) as a function of the time t for the PLL without a compensation and the PLL with the new compensation technique, while generating frequency ramps with a modulation bandwidth of Br = 22 GHz and different sweep times Tr .
Fig. 17. Loop bandwidth Bloop (left) and phase margin φloop (right) as a function of the output frequency f VCO for the PLL without a compensation technique, the PLL with the new compensation, and the PLL with VDDN compensation.
substrate, and an FR4 printed circuit board (PCB). The MMIC is embedded in the RT/duiroid 5880 substrate and connected via a rat-race coupler and microstrip-to-waveguide transition to a waveguide. Furthermore, it exhibits a dedicated divide-by-4 output to perform precise and accurate phase noise measurements. The FR4 PCB contains the power supply, the loop filter, the CN for the new compensation technique, as well as the VDDN. V. R ESULTS Fig. 16 shows the loop gain magnitude |G loop| as a function of the output frequency fVCO in case of the PLL without any compensation, utilizing the new compensation technique and the VDDN, respectively. The new compensation technique reduces the loop gain variation from 14.2:1 to 1.67:1, whereas the VDDN reduces it to 1.79:1. This corresponds to a reduction by a factor of 8.5 and 8. The factor of the reduction of the loop gain variation with the new compensation technique is in good agreement with the reduction of the variation of the calculated factor ||G PV || from Fig. 13. As described in Section I, the loop gain variation directly affects the phase margin φloop and loop bandwidth Bloop of the PLL. Fig. 17 shows the actual loop bandwidth and phase margin as a function of the output frequency. This has an impact on the loop stability and the maximum ramp slope, as well as the phase noise performance.
First, the loop bandwidth and the phase margin variation limit the maximum ramp slope Br /Tr for a stable frequency ramp. In the case of the uncompensated PLL, the sweep time has to be at least Tr ≥ 2.9 ms for the maximum modulation bandwidth of the output frequency Br = 22 GHz. However, the phase margin of the PLL without any compensation cannot be chosen smaller than φloop,d = 55◦ at the design frequency f VCO,d = 60 GHz, because, for stability reasons, it has to be at least φloop ≥ 30◦ over the entire output frequency range 50 GHz ≤ f VCO ≤ 72 GHz [13]. If the loop gain variation compensation is applied, the minimum sweep time is reduced to Tr,min = 0.79 ms for the maximum modulation bandwidth. Moreover, in the case of the PLL with compensation, we could reduce the phase margin to φloop,d = 40◦ at the design frequency, which is not feasible without any compensation. This results in a minimum sweep time of Tr,min = 0.35 ms. This corresponds to a reduction by a factor of 3.6 and 8.3, respectively. Generating linear frequency ramps with the maximum modulation bandwidth Br = 22 GHz, but different sweep times Tr , results in the transient output frequency f VCO (measured with R&S FSWP), tuning voltage Vt , and PFD gain control voltage Vc , as shown in Fig. 18. It can be observed that the PLL without any compensation unlocks at sweep times Tr < 2.9 ms, as exemplary shown for Tr = 0.79 ms, whereas the PLL with the new compensation technique can appropriately operate down to sweep times Tr = 0.35 ms. Fig. 19 shows the frequency error f e between an ideal frequency ramp and the frequency ramp measured with a video bandwidth of 100 kHz. Ignoring the first 5% of each frequency ramp, we calculated the corresponding rms frequency error f e,rms . In the case of a sweep time of Tr = 2.9 ms, the PLL without compensation and the PLL with the new compensation technique offer a quite similar rms frequency error of f e,rms = 6.66 kHz and f e,rms = 6.63 kHz, respectively. For shorter sweep times, the frequency error increases, which results in rms frequency errors of f e,rms = 20.1 kHz (Tr = 0.79 ms) and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 19. Measured frequency error f e of the entire frequency ramps as a function of the time normalized to the sweep time t/ Tr (top) and measured frequency error f e at the beginning of the ramps as a function of the time t (bottom) for the PLL without a compensation and the PLL with the new compensation technique, while generating frequency ramps with a modulation bandwidth of Br = 22 GHz and different sweep times Tr .
f e,rms = 43.8 kHz (Tr = 0.35 ms) for the PLL with the new compensation technique. If the rms frequency error of the PLL without a compensation is calculated for Tr = 0.79 ms by ignoring the end, where it is unlocked, it reaches a value of f e,rms = 22.4 kHz. This is slightly higher, but comparable to the value of the PLL with the new compensation technique. The settling behavior of the PLL can be investigated by means of concerning the first microseconds of the frequency ramps, which were measured with a video bandwidth of 5 MHz and are shown in Fig. 19. The amplitude of the frequency error during settling increases with an increased ramp slope, corresponding to a shorter sweep time. However, the time constants of the settling are not affected by the ramp slope. Moreover, by comparing the PLL with the new compensation technique with the PLL without compensation, the settling time is slightly reduced. Neither the rms frequency error nor the settling time is increased with the new compensation technique, the dynamic behavior of the PLL is not degraded by the second nonlinear feedback loop. However, these sweep times and ramp slopes are based on a phase noise-optimized PLL. The sweep times could be decreased if the loop bandwidth is increased by the cost of higher phase noise. In any case, a PLL with compensation allows for higher ramp slopes compared to the one without compensation. Depending on the application, a PLL with compensation allows for a design with increased phase noise performance if a certain sweep time is required.
Fig. 20. Measured phase noise L of the PLL without compensation (top) as well as the PLL with new compensation by means of utilizing CN (middle) and by means of applying the control voltage Vc externally (bottom) as a function of the offset frequency from the carrier f at different output frequencies f VCO .
Second, the loop bandwidth variation decreases the phase noise performance. Fig. 20 shows the phase noise of the PLL without and with compensation as a function of the offset frequency from the carrier f for different output frequencies fVCO . In contrast to the PLL with compensation, the shape of the phase noise of the PLL without compensation strongly varies for different output frequencies. At low output frequencies, the region of constant in loop phase noise is extended to high offset frequencies due to the increased loop bandwidth. At high output frequencies, the phase noise peaks at frequencies slightly below the loop bandwidth. To proof, that CN itself does not decrease the phase noise performance, we additionally measured the phase noise of the PLL without CN, but instead with applying Vc by an external ultra-low-noise voltage source. This voltage source generates the appropriate control voltage to modulate the PFD gain in the same way as CN according to Fig. 12. As shown in Fig. 20, the phase noise of the PLL with the new compensation technique is comparable in the case of utilizing CN and in the case of applying the control voltage Vc externally.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN DELDEN et al.: ULTRA-WIDEBAND FAST FREQUENCY RAMP SYNTHESIZER AT 60 GHz WITH LOW NOISE
9
Fig. 22. Simulated contributions and total phase noise L of the PLL with the new compensation technique as a function of the offset frequency from the carrier f at the lower output frequency f VCO = 50 GHz.
noise of the PLL with compensation will be decreased. Thus, the advantage of the PLL with compensation compared to the one without compensation would be further increased. VI. C ONCLUSION Fig. 21. Measured time jitter τ of the PLL without compensation and the PLL with new compensation technique as a function of the output frequency f VCO at a temperature of T = 0 ◦ C (top), T = 20 ◦ C (middle), and T = 70 ◦ C (bottom).
The suboptimal phase noise characteristic of the PLL without compensation results in an increased rms phase jitter φrms and time jitter τ . Fig. 21 shows the time jitter τ of the output signal as a function of the output frequency for the PLL without and with compensation. At room temperature, the jitter can be decreased by up to 12%. To demonstrate that the compensation is robust against environmental drifts, we measured the phase noise at different temperatures T . Fig. 21 shows the resulting time jitter. In the temperature range from 0 ◦ C to 70 ◦ C, the time jitter only deviates slightly and is smaller or equal in case of the applied compensation. Moreover, the decrease of the time jitter due to the compensation of the loop gain variation even improves to 18% near the minimum and maximum output frequency. In any case, for the design frequency f VCO,d = 60 GHz, the time jitter is below 79 fs. The small time jitter and the high modulation bandwidth allow for highly precise and accurate microwave measurement systems. Moreover, the phase noise performance at low output frequencies of the PLL with the new compensation technique could be increased in an improved version. As Fig. 12 shows, the PFD gain is relatively small at low output frequencies. Thus, at these frequencies, the contribution of the loop filter’s noise to the total phase noise of the PLL increases as depicted in the simulated noise contributions of the PLL with the new compensation technique in Fig. 22. This is also visible in the phase noise measurement of the PLL with compensation at f VCO = 50 GHz, as shown in Fig. 20. A possibility to solve this problem is to increase the current of the output stage of the PFD I0 , as shown in Fig. 8. This can be used to either increase the PFD gain in general or to decrease the resistor values R L and those of the loop filter. In both the cases, the contribution of the loop filter’s noise to the total phase
We presented a new technique to compensate the variation of the loop gain in wideband PLLs. It is especially intended for frequency ramp synthesis and requires only low hardware complexity. Furthermore, we presented an MMIC for ultra-wideband, low noise 60-GHz PLLs as well as a corresponding reference ramp generator, allowing for driving and synchronizing multiple PLLs. The VCO offers a tuning range of BVCO = 22 GHz and a phase noise of less than −95 dBc/Hz at offset frequencies of f = 1 MHz over the entire output frequency range. Based on this, a low noise 60-GHz frequency synthesizer has been realized for operation without compensation, with the new compensation technique, and with VDDN. We optimized the synthesizer for a design frequency of 60 GHz and achieved a time jitter of less than 79 fs. Applying our new proposed compensation technique, we reduced the variation of the loop gain from 14.2:1 to 1.67:1 and compared it to the compensation with VDDN, which is 1.79:1. The resulting loop bandwidth and phase margin of the PLLs with compensation is smooth over the entire output frequency range. This increases the maximum ramp slope from 22 GHz/2.9 ms to 22 GHz/0.79 ms for φloop,d = 55◦. For φloop = 40◦ , which is not feasible without compensation, it increases further to 22 GHz/0.35 ms. Applying the new compensation technique, we demonstrated FMCW operation with these ramp slopes and measured rms frequency errors of 6.63 kHz (Tr = 2.9 ms), 20.1 kHz (Tr = 0.79 ms), and 43.8 kHz (Tr = 0.79 ms). The rms frequency error and the settling time are not increased compared to the uncompensated PLL. Moreover, the compensation technique reduces the time jitter of the output signal by up to 12% (T = 20 ◦ C) and 18% (0 ◦ C ≤ T ≤ 70 ◦ C). The time jitter at low output frequencies could be further reduced if the proposed modification is applied. Furthermore, we demonstrated that the compensation technique is robust against temperature drifts. Thus, the performance of microwave measurement systems based on the FMCW principle can be increased by the presented new compensation technique.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
ACKNOWLEDGMENT The authors would like to thank Infineon Technologies AG for fabricating the chips. R EFERENCES [1] G. Hasenaecker, M. van Delden, T. Jaeschke, N. Pohl, K. Aufinger, and T. Musch, “A SiGe fractional-N frequency synthesizer for mm-Wave wideband FMCW radar transceivers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 847–858, Mar. 2016. [2] M. Mallach and T. Musch, “Fast and precise data acquisition for broadband microwave tomography systems,” Meas. Sci. Technol., vol. 28, no. 9, p. 094003, 2017. [3] B. Friederich, T. Schultze, and I. Willms, “A novel approach for material characterization based on a retroreflector wide band transceiver radar,” in Proc. IEEE Int. Conf. Ubiquitous Wireless Broadband, Montreal, QC, Canada, Oct. 2015, pp. 1–4. [4] S. Pisa, E. Pittella, and E. Piuzzi, “A survey of radar systems for medical applications,” IEEE Aerosp. Electron. Syst. Mag., vol. 31, no. 11, pp. 64–81, Nov. 2016. [5] M. Mallach, M. Oberberg, P. Awakowicz, and T. Musch, “Fast broadband reflectometer for diagnostics of plasma processes based on spatially distributed multipole resonance probes,” in IEEE MTT-S Int. Microw. Symp. Dig., Honololu, HI, USA, Jun. 2017, pp. 1022–1025. [6] F. Herzel, D. Kissinger, and H. J. Ng, “Analysis of ranging precision in an FMCW radar measurement using a phase-locked loop,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 65, no. 2, pp. 783–792, Feb. 2018. [7] Y. Liu, D. Goshi, K. Mai, L. Bui, and Y. Shih, “Linearity study of DDS-based W-band FMCW sensor,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, USA, Jun. 2009, pp. 1697–1700. [8] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto, “A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 928–937, Apr. 2010. [9] J. Lee, Y.-A. Li, M.-H. Hung, and S.-J. Huang, “A fully-integrated 77-GHz FMCW radar transceiver in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2746–2756, Dec. 2010. [10] N. Pohl, T. Jaeschke, and K. Aufinger, “An ultra-wideband 80 GHz FMCW radar system using a SiGe bipolar transceiver chip stabilized by a fractional-N PLL synthesizer,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 757–765, Mar. 2012. [11] J. Nehring, M. Dietz, K. Aufinger, G. Fischer, R. Weigel, and D. Kissinger, “A 4–32-GHz chipset for a highly integrated heterodyne two-port vector network analyzer,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 892–905, Mar. 2016. [12] G. Hasenaecker, R. Storch, T. Musch, and N. Pohl, “Ultra low noise signal synthesis for the use in a FMCW MIMO radar system,” in Proc. IEEE-APS Top. Conf. Antennas Propag. Wireless Commun., Palm Beach, FL, USA, Aug. 2014, pp. 715–718. [13] R. E. Best, Phase-Locked Loops, 6th ed. New York, NY, USA: McGraw-Hill, 2007. [14] J. Vovnoboy, R. Levinger, N. Mazor, and D. Elad, “A dual-loop synthesizer with fast frequency modulation ability for 77/79 GHz FMCW automotive radar applications,” IEEE J. Solid-State Circuits, vol. 53, no. 5, pp. 1328–1337, May 2018. [15] F. Herzel et al., “A fully integrated 48-GHz low-noise PLL with a constant loop bandwidth,” in Proc. IEEE Top. Meeting Silicon Monolithic Integr. Circuits RF Syst., Orlando, FL, USA, Jan. 2008, pp. 82–85. [16] M. Mallach, D.-B. Grys, T. Musch, and R. Storch, “A broadband frequency ramp generator for very fast network analysis based on a fractional-N phase locked loop,” in Proc. 46th Eur. Microw. Conf., London, U.K., Oct. 2016, pp. 1023–1026. [17] M. Ali, H. Elsemary, H. Shawkey, and A. Zekry, “A fast locking digital phase-locked loop using programmable charge pump,” in Proc. Int. Conf. Comput. Eng. Syst., Cairo, Egypt, Nov./Dec. 2010, pp. 135–138. [18] Z. Hui, R. Junyan, and Z. Hui, “A CMOS PLL using current-adjustable charge-pump and on-chip loop filter with initialization circuit,” in Proc. 5th Int. Conf. ASIC, Bejing, China, vol. 2, Oct. 2003, pp. 728–731. [19] H. Arora, N. Klemmer, J. C. Morizio, and P. D. Wolf, “Enhanced phase noise modeling of fractional-N frequency synthesizers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 2, pp. 379–395, Feb. 2005. [20] M. van Delden, N. Pohl, and T. Musch, “A new concept to compensate the loop gain variation in phase-locked loops for wideband microwave frequency ramp synthesis,” in Proc. IEEE Asia–Pacific Microw. Conf., Kuala Lumpar, Malaysia, Nov. 2017, pp. 914–917.
[21] M. Marrero-Martin, J. Garcia, B. Gonzalez, and A. Hernaindez, “Equivalent circuit model for capacitances in PN varactors with buried channel,” in Proc. Spanish Conf. Electron Devices, Santiago de Compostela, Spain, Feb. 2009, pp. 467–470. [22] M. Vidyasagar, Nonlinear Systems Analysis, 2nd ed. Philadelphia, PA, USA: SIAM, 2002. [23] J. Böck et al., “SiGe HBT and BiCMOS process integration optimization within the DOTSEVEN project,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting (BCTM), Boston, MA, USA, Oct. 2015, pp. 121–124. [24] H. M. Rein and M. Moller, “Design considerations for very-high-speed Si-bipolar IC’s operating up to 50 Gb/s,” IEEE J. Solid-State Circuits, vol. 31, no. 8, pp. 1076–1090, Aug. 1996. [25] M. van Delden, G. Hasenaecker, N. Pohl, K. Aufinger, and T. Musch, “An 80 GHz programmable frequency divider for wideband mm-Wave frequency ramp synthesis,” in Proc. IEEE Int. Symp. Radio Freq. Integr. Technol., Sendai, Japan, Aug. 2015, pp. 181–183.
Marcel van Delden (S’14) was born in Hattingen, Germany, in 1990. He received the B.Sc. and M.Sc. degrees in electrical engineering from Ruhr University Bochum, Bochum, Germany, in 2012 and 2015, respectively. Since 2013, he has been a Research Assistant with the Institute of Electronic Circuits, Ruhr University Bochum. His current research interests include the design of integrated mm-wave and digital circuits in ultra-wideband frequency synthesis with highest phase stability.
Nils Pohl (GS’07–M’11–SM’14) received the Dipl.Ing. and Dr. Ing. degrees in electrical engineering from Ruhr University Bochum, Bochum, Germany, in 2005 and 2010, respectively. He was a Research Assistant with Ruhr University Bochum, from 2006 to 2011, where he was involved in integrated circuits for millimeterwave radar applications. In 2011, he became an Assistant Professor with Ruhr University Bochum. In 2013, he became the Head of the Department of Millimeter Wave Radar and High Frequency Sensors, Fraunhofer Institute for High Frequency Physics and Radar Techniques, Wachtberg, Germany. In 2016, he became a Full Professor of integrated systems with Ruhr University Bochum. He has authored or co-authored over 100 scientific papers and holds several patents. His current research interests include ultra-wideband millimeter-wave radar, design and optimization of millimeter-wave integrated SiGe circuits and system concepts with frequencies up to 240 GHz and above, and frequency synthesis and antennas. Dr. Pohl is a member of the VDE, ITG, EUMA, and URSI. He was a recipient of the Karl-Arnold Award of the North Rhine-Westphalian Academy of Sciences, Humanities, and the Arts in 2013. He was a co-recipient of the 2009 EEEfCom Innovation Award, the 2012 EuMIC Prize, and the 2015 Best Demo Award of the IEEE Radio Wireless Week.
Thomas Musch (M’06) was born in Mülheim, Germany, in 1968. He received the Dipl.Ing. and Dr. Ing. degrees in electrical engineering from Ruhr University Bochum, Bochum, Germany, in 1994 and 1999, respectively. From 1994 to 2000, he was a Research Assistant with the Institute of High Frequency Engineering, Ruhr University Bochum, where he was involved in system concepts and electronic components at microwave frequencies, mainly in the fields of frequency synthesis and high-precision radar. From 2003 to 2008, he was with Krohne Messtechnik GmbH, Duisburg, Germany. As the Head of the Department of Corporate Research, he was responsible for research activities with the Krohne Group, Duisburg. In 2008, he became a Full Professor of electronic circuits with Ruhr University Bochum. His current research interests include frequency synthesis, radar systems and antennas for microwave range finding, industrial applications of microwaves, and automotive electronics.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 9, SEPTEMBER 2018
3947
A Uniform Digital Predistorter for Concurrent Multiband Envelope Tracking RF Power Amplifiers With Different Envelopes Qianyun Lu , Fan Meng , Na Yang, and Chao Yu , Member, IEEE
Abstract— This paper proposes a uniform digital predistortion technique for concurrent multiband envelope tracking (ET) power amplifiers (PAs) under various supply modulation types, i.e., different envelopes. It is achieved by successfully dissolving the conventional envelope functions into the proposed model formulas, and derived in detail for typical scenarios, such as peak envelope (PE), average envelope, generalized mean envelope, and weighting factor PE. Because of its model structure, it can earn the advantages of impressive generality, concise forms, low computational complexity, as well as better stability, in comparison to the already published techniques. Experiments using a GaN PA supplied by different ET modulation types have been implemented by employing a dual-band signal with each of 10-MHz OFDM signals at the center frequency of 1.8 and 2.2 GHz, and also by employing a triband signal with each of 5-MHz OFDM signals centered at 1.8, 1.92, and 2.12 GHz, respectively, which can provide a validation for the effectiveness of the proposed method. Index Terms— Concurrent multiband, digital predistortion (DPD), envelope tracking (ET), power amplifiers (PAs).
I. I NTRODUCTION
W
IRELESS communication systems have undergone astonishing advancements in the past decades, which consequently boosts the developments of the constituting parts. Multiband and multistandard base stations and transmitters have already been deeply researched and quickly brought to market according to practical demands. As a core component of transmitters, power amplifier (PA) attracts extra attention in the industry. Due to the widespread applications of radio frequency PAs, the tradeoff between efficiency and linearity has been a thorny problem for engineers. To resolve this issue, some dynamical supply schemes, such as envelope tracking (ET) PAs, have been adopted so far to further improve efficiency. But the nonlinear problems become much more complicated in the meantime. The distorted signals often end Manuscript received January 14, 2018; revised May 7, 2018 and July 1, 2018; accepted July 5, 2018. Date of publication August 3, 2018; date of current version September 4, 2018. This work was supported in part by the National Natural Science Foundation of China under Grant 61601117 and in part by the Natural Science Foundation of Jiangsu Province under Grant BK20160698. This paper is an expanded version from the Asia–Pacific Microwave Conference, Kuala Lumpur, Malyasia, November 13–16, 2017. (Corresponding author: Chao Yu.) The authors are with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2857828
up in demodulation failure. Worse still, if not handled properly, spectrum regrowth may incur interference to other users. Fortunately, linearization techniques have been developed to deal with this problem. Digital predistortion (DPD) technology is particularly favored due to its effective compensation for nonlinear behaviors of various systems at low cost. Our interest in this paper is the uniform DPD solution for concurrent multiband ET PAs under different envelopes. Three kinds of distortion must be considered, intraband, crossband, and envelope-dependent distortion [1]. First, the intrinsic characteristics of PAs will induce intraband distortion, which is usually the main target of conventional singleband DPD solutions. Second, the modulation between multiple subband signals causes the cross-band distortion [2]. Third, ET operation will introduce extra work for linearization [3]. To avoid the large envelope bandwidth of the multiband signal, in practical applications, there are several different ways to generate envelopes for bandwidth reduction, such as peak envelope (PE), average envelope (AE), general mean envelope (GME), and weighting factor PE (WFPE). Some pioneer works have been done [1]–[7]. For example, Sarbishaei et al. [4] shaped the supply voltage with a PE function. A power weighted average of the shaping functions was presented in [5], also known as WFPE. Gilabert et al. [6] put forward a 3-D DPD technique for dual-band (DB) ET PAs, and then made improvements in [1], where they presented a 3-D distributed memory polynomial (3-D-DMP) behavioral model for concurrent DB PAs under different ET supply modulation techniques. Also, in [7] specified for PE, we borrowed the concept of generalized memory polynomial model [8] into a decomposed vector rotation structure [9], in order to improve the model accuracy and reduce the model complexity. Although each of the references above has been successfully applied [1], [5], [10], [11], these techniques provide separate solutions for distinctive envelopes. The structure of the conventional concurrent DB-ET systems, which depends on the employed envelope generation types, is shown in Fig. 1. The supply modulator generates the dynamical voltages for PAs according to the chosen envelope, such as PE, AE, GME, and WFPE. Meanwhile, the digital predistorter selects the corresponding envelope-dependent cores. Undoubtedly, different envelope shaping functions are necessary in practical applications in order to achieve optimal efficiency of PAs, which have diversity in characteristics caused by processing
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
3948
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 9, SEPTEMBER 2018
model is known as the 3-D memory polynomial (3-D-MP) DPD, which will also be presented in this section. A. Envelope Generation for Concurrent DB PAs In general, there are several methods to generate envelopes, as listed in the following equation, where e(n) denotes the envelope function and x 1 (n) and x 2 (n) are the two baseband input signals of PAs. 1) PE e(n) = |x 1(n)| + |x 2 (n)|. 2) AE e(n) = 3) GME Fig. 1.
e(n) =
Structure of the conventional concurrent DB-ET system.
technologies. For example, a PA might be more efficient when using AE than using PE [1], [10], but another PA may possess opposite features. Besides, for a specific PA, each of the mentioned envelopes can be applied according to demand. However, each demand will require different DPD models as shown in Fig. 1, which makes the implementation more complicated and thus increases the cost. Therefore, a more generalized form of the DPD model is urged to improve the adaptability and also to lower the costs. In other words, it is crucial to have a unified and universal DPD for concurrent multiband ET PAs with different envelopes. In this paper, we propose to employ a unified form of DPD to compensate for the distortion of concurrent multiband ET PAs regardless of the envelope types, by dissolving the envelope into the model structure. Resultantly, the proposal enjoys the improved applicability and better generality. In terms of practical implementations, the hardware structures of DPD modules will remain unchanged, cutting down developing costs. The paper is organized as follows. In Section II, we state different envelope generation types up until now. A 3-D DPD method using memory polynomials for concurrent DB-ET PAs is also introduced. Then, in Section III, the proposed DPD will be demonstrated for concurrent multiband PAs under various ET supply modulation types, including mathematical derivations in detail. The extension to triband (TB) scenario is also presented. The experimental results of the proposal in concurrent DB/TB scenarios are both shown in Section IV, followed by a concise conclusion in Section V. II. C ONVENTIONAL DPD T ECHNIQUES In concurrent multiband systems, DB transmission is one of the most typical scenarios. Correspondingly, in ET systems, supply modulation techniques for concurrent DB PAs have already been deeply investigated. It turns out that four typical envelopes have been successfully applied, which will be introduced in this section. Meanwhile, the DPD methods for PAs under such situations are well developed, and one typical
p
(1)
|x 1 (n)|2 + |x 2 (n)|2 .
(2)
0.5(|x 1(n)| p + |x 2 (n)| p )
(3)
where p is chosen according to actual demands [1]. When p = 1, e(n) is PE, while p = 2, e(n) is AE. Therefore, we suppose p = 1 and p = 2 4) WFPE e(n) = ε|x 1 (n)| + μ|x 2 (n)|
(4)
where P1 P2 μ2 = P1 + P2 P1 + P2 where P1 and P2 are the power in two subbands, respectively. This specific type of envelope is to improve the ET performance when there exists power imbalance between bands [5], [11]. ε2 =
B. 3-D Memory Polynomial Model Conventionally, to linearize concurrent DB ET PAs, envelope function usually appears as a separate part in model formulas where they are multiplied by basic cores of DB PAs. For example, the 3-D-MP model can be written in the following equations according to [6]: y1 (n) =
K L M k
clmki x 1 (n − m)
l=0 m=0 k=0 i=0 i
·|x 1 (n − m)|k−i |x 2 (n − m)| · e(n − m)l K L M k clmki x 2 (n − m) y2 (n) =
(5)
l=0 m=0 k=0 i=0 i
· |x 2 (n − m)|k−i |x 1(n − m)| · e(n − m)l
(6)
where L is the order of envelope function e(n), M is the memory depth, and K is the nonlinearity order. clmki is the coefficient for each term. y1 (n) and y2 (n) are the baseband output signals in bands #1 and #2, respectively. The 3-D-DMP model was proposed afterward [1], to further improve the 3-D-MP model, which is the state-of-the-art technique. However, both the 3-D-DMP and 3-D-MP models need different model formulas when PAs are supplied by different types of envelope, as depicted in Fig. 1, which limits the applicability and flexibility. As a consequence, a model with higher generality, which applies in any envelope generation scenario, is needed to eliminate the limitations.
LU et al.: UNIFORM DPD FOR CONCURRENT MULTIBAND ET RF PAs
3949
III. P ROPOSED M ODEL In this section, formulas of the proposed model are derived. We start from the 3-D-MP model for concurrent DB PAs [6]. Out of convenience, the memory effect of PAs is ignored at the beginning, and only band #1 is taken for example. Therefore, (5) can be simplified into the following equation: y1 =
K k L
clki x 1 |x 1 |k−i |x 2 |i · el .
is performed. Here, we make assumptions that f is continuous and thus differentiable at every point f (|x 1 |, |x 2 |) = f (0.5, 0.5) + f 1 (0.5, 0.5) · (|x 1 | − 0.5) + f 2 (0.5, 0.5) · (|x 2 | − 0.5) + 0.5 f 11 (0.5, 0.5) · (|x 1 | − 0.5)2 + 0.5 f 22 (0.5, 0.5) · (|x 2 | − 0.5)2
(7)
+ 0.5 f 12 (0.5, 0.5) · (|x 1 | − 0.5)(|x 2 | − 0.5) + ··· (14)
l=0 k=0 i=0
It can also be denoted by the following equation, where certain terms that do not exist in (7) have the corresponding coefficient of 0, e.g., c K K = 0: L K K k i y1 = cki x 1 |x 1 | |x 2 | · cl el . (8) k=0 i=0
where f 1 and f 2 are the first-order partial derivatives, while f 11 , f22 , and f 12 are the second-order partial derivatives. It is easy to obtain the following values: f (0.5, 0.5) = 0 f 1 (0.5, 0.5) = 0
l=0
On this basis, we try to achieve a more unified form under different envelope configurations for concurrent DB ET PAs. Here, the envelope function from (1) to (4) will be taken for example.
f 11 (0.5, 0.5) = 0 f 22 (0.5, 0.5) = 0 f 12 (0.5, 0.5) = 0 ······
cki x 1 |x 1 |k |x 2 |i ·
k=0 i=0
When m → ∞ and n → ∞
L
cl (|x 1 | + |x 2 |)l .
l=0
y1 =
cki x 1 |x 1 |k |x 2 |i
l L
j cl Cl |x 1|l− j |x 2 | j
j Cl
where is the binomial coefficient for term |x 1 |l− j |x 2 | j . Through polynomial expansion of (10), it is easy to obtain as follows, where p represents the new coefficient: y1 =
+L K +L K
k
i
pki x 1 |x 1 | |x 2 | .
As a result, it is reasonable to make proper truncations to approximate the AE function f (|x 1 |, |x 2 |) ≈
Qa Pa
Therein, Pa and Q a are selected in terms of accuracy requirements, and b pq is the coefficient for each term. Again, we use the binomial theorem to expand each subterm (|x 1 | − 0.5) p (|x 2 | − 0.5)q of (15), and obtain as follows: f (|x 1 |, |x 2 |) ≈
(11)
Pa Qa
d pq |x 1 | p |x 2 |q .
By substituting (16), reformulation of (12) is shown in the following: ⎛ ⎞l Qa Pa L K K cki x 1 |x 1 |k |x 2 |i cl ⎝ d pq |x 1 | p |x 2 |q ⎠ . y1 = k=0 i=0
p=0 q=0
l=0
(17)
B. Average Envelope Polynomial expansion is then performed
Using (2), similar substitution is done into (8) y1 =
K K
(16)
p=0 q=0
k=0 i=0
Although the PE can be dissolved into the conventional polynomial terms, the nonlinearity order has increased from K to K + L. For example, when K = 6 and L = 2, the nonlinearity order of ET PAs mounts to 8, in comparison to 6 without ET operation.
b pq (|x 1 | − 0.5) p (|x 2 | − 0.5)q . (15)
p=0 q=0
(10)
l=0 j =0
k=0 i=0
(|x 1 | − 0.5)m (|x 2 | − 0.5)n → 0.
(9)
Next, polynomial expansion is performed according to the binomial theorem, that is, K K
······
||x 1 | − 0.5| < 1 ||x 2 | − 0.5| < 1.
We substitute the envelope function (1) into (8) K K
······
With normalized signals |x 1 | ∈ (0, 1], |x 2 | ∈ (0, 1], then
A. Peak Envelope
y1 =
f2 (0.5, 0.5) = 0
cki x 1 |x 1 | |x 2 | k
i
k=0 i=0
Let f (|x 1 |, |x 2 |) =
L
l
cl ( |x 1|2 + |x 2 |2 ) . (12)
|x 1 |2 + |x 2 |2 .
cki x 1 |x 1 | |x 2 | k
(13)
In consideration that x 1 and x 2 are normalized signals in practical applications, namely, |x 1 | ∈ (0, 1], |x 2 | ∈ (0, 1], expansion of f (|x 1 |, |x 2 |) at point (0.5, 0.5) with Taylor Series
i
Pa L Q aL
r pq |x 1 | p |x 2 |q .
(18)
p=0 q=0
k=0 i=0
l=0
y1 =
K K
Finally, we can obtain an ultimate model formula (19) with updated coefficient a for band #1 when DB PAs are supplied by the AE y1 =
K +Pa L K +Q a L k=0
i=0
aki x 1 |x 1 |k |x 2 |i .
(19)
3950
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 9, SEPTEMBER 2018
In this way, the AE has been successfully dissolved into polynomials. However, with an order L of the envelope function truncated by Pa and Q a , the nonlinearity order increases drastically. For instance, when K = 6, L = 2, Pa = 5, and Q a = 5, the nonlinearity order goes up steeply from 6 to 16.
C. Generalized Mean Envelope In this case, (8) has a concrete form of the following equation: y1 =
K K
cki x 1 |x 1 |k |x 2 |i ·
k=0 i=0
L
cl ( p 0.5(|x 1| p + |x 2 | p ))l .
After the same operations, the ultimate form of (8) using GME is (26). gki is the coefficient for term x 1 |x 1 |k |x 2 |i K +Pg L K +Q g L
y1 =
k=0
i=0
For the WFPE, we substitute (4) into (8) y1 =
K K
cki x 1 |x 1 | |x 2 | · k
i
k=0 i=0
f (|x 1 |, |x 2 |) =
0.5(|x 1| p + |x 2 | p ).
(21)
We also expand f (|x 1 |, |x 2 |) at (0.5, 0.5) with Taylor Series f (|x 1 |, |x 2 |) = f (0.5, 0.5)
L
cl (ε|x 1| + μ|x 2 |)l . (27)
l=0
According to binomial theorem, we further obtain as follows: y1 =
K K
cki x 1 |x 1 |k |x 2 |i ·
l L
j
cl Cl (ε|x 1 |)l− j (μ|x 2 |) j
l=0 j =0
k=0 i=0
+ f 1 (0.5, 0.5) · (|x 1 | − 0.5)
(28)
+ f 2 (0.5, 0.5) · (|x 2 | − 0.5) + 0.5 f 11 (0.5, 0.5) · (|x 1 | − 0.5)2
j Cl
+ 0.5 f 22 (0.5, 0.5) · (|x 2 | − 0.5)2 + 0.5 f 12 (0.5, 0.5) · (|x 1 | − 0.5)(|x 2| − 0.5) + ···
(22)
f 11 (0.5, 0.5) = 0 ······
+L K +L K
wki x 1 |x 1 |k |x 2 |i
(29)
k=0 i=0
f 22 (0.5, 0.5) = 0 ······
E. Proposed Formulas for Concurrent DB-ET PAs
f 12 (0.5, 0.5). = 0 ······
Pg Q g
b pq (|x 1 | − 0.5) p (|x 2 | − 0.5)q .
(23)
p=0 q=0
Similarly, (23) can be further reformulated into the following equation: f (|x 1 |, |x 2 |) ≈
Pg Q g
d pq |x 1 | p |x 2 |q .
(24)
p=0 q=0
Herein, Pg and Q g are selected, considering accuracy requirements, and d pq is the coefficient for each term. We approximate (20) with the following equation: ⎛ ⎞l Pg Q g K K L cki x 1 |x 1 |k |x 2 |i · cl ⎝ d pq |x 1 | p |x 2 |q⎠ . y1 = k=0 i=0
y1 =
f 1 (0.5, 0.5) = 0 f 2 (0.5, 0.5) = 0
The following equation shows the truncation and approximation of the GME function: f (|x 1 |, |x 2 |) ≈
where is the binomial coefficient for (ε|x 1 |)l− j (μ|x 2 |) j . Through polynomial expansion of (28), it is easy to obtain as follows:
where wki is the coefficient for each term. Similar to PE, the dissolution of WFPE has been realized, but with a larger nonlinearity order resultantly. For example, when K = 6 and L = 2, the nonlinearity order of ET PAs increases from 6 to 8.
where f 1 and f 2 are the first-order partial derivatives, while f 11 , f 22 , and f12 are the second-order partial derivatives. Values of some derivatives are calculated as follows: f (0.5, 0.5) = 0
(26)
D. Weighting Factor Peak Envelope
Let p
gki x 1 |x 1 |k |x 2 |i .
Also, in spite of the successful dissolution of GME, an increasing nonlinearity order is observed. For instance, when K = 6, L = 2, Pg = 5, and Q g = 5, there is a sharp rise of nonlinearity order from 6 to 16.
l=0
(20)
l=0
p=0 q=0
(25)
In accordance with (11), (19), (26), and (29), the concurrent DB-ET PAs with the above four envelopes all share the same polynomial form for band #1 as follows. Note that the values of K and I are determined by different envelopes and also by accuracy demands. For different envelopes, K and I of (30) can vary significantly, e.g., K = I = 8 for PE while K = I = 16 for AE, as analyzed at the end of parts A, B, C, and D y1 =
K I
cki x 1 |x 1 |k |x 2 |i .
(30)
k=0 i=0
Although envelope functions have already been dissolved into model formulas, it is inevitable to increase the nonlinearity order to correctly describe the extra distortion incurred by varying supply voltages. However, this steep increase will exacerbate the ill-conditioning issue of such models based on memory polynomials. Especially, for modern PA structure, such as ET PAs, the PAs will exhibit non-Volterra-like behaviors, e.g., S-shape of amplitude modulation-to-amplitude modulation (AM/AM) curves, which will require higher order
LU et al.: UNIFORM DPD FOR CONCURRENT MULTIBAND ET RF PAs
3951
for the MP model itself to achieve satisfactory performance, leading to instability, as mentioned in [9]. Fortunately, several techniques have been developed to try to describe the complex PA behavior, such as ones based on canonical piecewise linear functions [12]–[15], decomposed piecewise Volterra series [16], and decomposed vector rotation [9], [17], [18]. In this paper, we borrow the concept of the piecewise linear function to propose a uniform behavioral model for different envelope configurations. Therefore, in the next step, we will further derive (30) into a new mathematical model with more stability. For simple illustration, the highest polynomial order is restrained to 5. Namely, (30) can be rewritten as (31), where ci j represents the coefficient of the term x 1 |x 1 |i |x 2 | j , i + j ≤ 4 y1 = c00 x 1 + c10 x 1 |x 1 | + c01 x 1 |x 2 | + c20 x 1 |x 1 |2 + c11 x 1 |x 1 ||x 2 | + c02 x 1 |x 2 |2 + c30 x 1 |x 1 |3 + c21 x 1 |x 1 |2 |x 2 | + c12 x 1 |x 1 ||x 2 |2 + c03 x 1 |x 2 |3 + c40 x 1 |x 1 |4 + c31 x 1 |x 1 |3 |x 2 | + c22 x 1 |x 1 |2 |x 2 |2 + c13 x 1 |x 1 ||x 2 |3 + c04 x 1 |x 2 |4 . (31) Furthermore, y1 can be divided into three categories, (L) (I ) (C) (L) y1 , y1 , and y1 . y1 is the linear component, while (I ) (C) y1 and y1 imply intraband and cross-band nonlinearities, respectively. In addition, in y1(I ) and y1(C), the magnitude and phase of x 1 are separated according to x 1 = e j ϕ1 |x 1 |, where ϕ1 is the phase of x 1 (L)
y1 = y1
(L) y1 y1(I ) (C) y1
(I )
(C)
+ y1 + y1
(32)
= c00 x 1 =e
j ϕ1
=e
j ϕ1
(33)
· (c10 |x 1| + c20 |x 1 | + c30 |x 1 | + c40 |x 1 | ) 2
3
4
2
· (c01 |x 1 ||x 2 | + c11 |x 1 | |x 2 | + c02 |x 1 ||x 2 |
5
2
2
2
4
3
2
3
+ c31 |x 1 | |x 2 | + c22 |x 1 | |x 2 | + c13 |x 1 |2 |x 2 |3 + c04 |x 1 ||x 2 |4 ). (I )
Obviously, y1
ai(C−1)|x 1 |i
(C−2)
y1
4
= e j ϕ1
(C−2)
ai
|x 1 |i
(C−3)
3
= e j ϕ1
(C−3)
ai
|x 1 |i
3
(37)
4
(C−2)
|x 2 | j
(38)
(C−3)
|x 2 | j
(39)
bj
3
bj
j =2
i=2
y1(C−4) = e j ϕ1
b(C−1) |x 2 | j j
j =2
i=2
y1
4 j =1
i=1
ai(C−4)|x 1 |i
3
b(C−4) |x 2 | j . j
(40)
j =3
i=3
It is apparent that (37)–(40) share the same form of e j ϕ1 ai |x 1 |i b j |x 2 | j , where i and j have different ranges for each one, e.g., for (37) i, j = 1, 2, 3, 4. One piecewise linear model can use a cluster of V-shaped fold lines to describe the nonlinearity of single-band PAs [9] or DB PAs [17]. Borrowing this, we propose to employ these fold lines rather than polynomials to describe nonlinear characteristics, as shown in the following equation: Kp
i
ci |x| →
i=2
K
h k ||x| − βk |
(41)
k=1
where βk denotes the kth value of the threshold β · K is the length of β and K p is the nonlinearity order for polynomials. c and h are the coefficients of two models, respectively. In addition, for DB applications, (41) can be extended as follows: Kp
ci1 |x 1 |i
Kp
i=1
+ c21 |x 1 | |x 2 | + c12 |x 1 | |x 2 | + c03 |x 1 ||x 2 | 3
4
y1(C−1) = e j ϕ1
ci2 |x 2 |i →
K
i=1
h 1k ||x 1| − βk |
k=1
K
h 2i ||x 2 | − βi |
i=1
which can also be written as follows: Kp
ci1 |x 1 |i
i=1
Kp
ci2 |x 2 |i
→
i=1
K K
h ki ||x 1 | − βk |||x 2 | − βi |.
k=1 i=1
can be denoted by the following equation: y1(I )
=e
j ϕ1
5
ci(I ) |x 1 |i .
(34)
(42) In accordance with (34) and (41), y1(I ) can also be represented by the following equation:
i=2 (I )
y1 = e j ϕ1
y1(C)
Through factorization of as follows:
c11 c21 c31 |x 1 |2 + |x 1 |3 + |x 1 |4 y1(C) = e j ϕ1 · |x 1 | + c01 c01 c01 2 3 · (c01 |x 2 | + c02 |x 2 | + c03 |x 2 | + c04 |x 2 |4 )
c11 c21 c31 j ϕ1 2 3 4 −e · |x 1 | + |x 1 | + |x 1 | c01 c01 c01 · (c02 |x 2 |2 + c03 |x 2 |3 + c04 |x 2 |4 )
c22 j ϕ1 2 3 + e · |x 1 | + |x 1 | · (c12 |x 2 |2 + c13 |x 2 |3 ) c12 c22 c13 − e j ϕ1 · |x 1 |3 |x 2 |3 (35) c12 (C) (C−1) (C−2) (C−3) (C−4) y1 = y1 + y1 + y1 + y1 (36)
K
(I )
h k ||x 1| − βk |.
(43)
k=1
In terms of (42) and (37)–(40), y1(C−1), y1(C−2) , y1(C−3), and have been reformulated
(C−4) y1
y1(C−1) = e j ϕ1 y1(C−2) = e j ϕ1 y1(C−3) = e j ϕ1
K K k=1 i=1 K K k=1 i=1 K K k=1 i=1
h (C−1) ||x 1| − βk |||x 2| − βi | ki
(44)
h (C−2) ||x 1 | − βk |||x 2 | − βi | ki
(45)
h (C−3) ||x 1| − βk |||x 2| − βi | ki
(46)
3952
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 9, SEPTEMBER 2018
(C−4)
y1
= e j ϕ1
K K
(C−4)
h ki
||x 1 | − βk |||x 2 | − βi |.
(47)
k=1 i=1 (C−1)
(C−2)
(C−3)
(C−4)
From (44)–(47), y1 , y1 , y1 , and y1 have the same term ||x 1 | − βk |||x 2| − βi |, k, i = 1, . . . , K . Thus, by merging these terms, we can reformulate (36) into the following equation: (C) y1
=e
j ϕ1
K K
(C)
h ki ||x 1 | − βk |||x 2 | − βi |.
(48)
k=1 i=1
Hence, using (33), (43), and (48), and adding memory effects to (32), the final uniform model formulas with different envelopes can be derived as follows: y1 (n) =
M
h (1) m x 1 (n − m)
Fig. 2.
Structure of the proposed model for DB-ET PAs (band #1).
Fig. 3.
Structure of the proposed concurrent DB-ET system.
Fig. 4.
Structure of the proposed concurrent TB-ET system.
m=0
+ +
K M
(1)
h mk e j ϕ1 (n−m) ||x 1 (n − m)| − βk |
m=0 k=1 K M K
(1)
h mki e j ϕ1 (n−m)
m=0 k=1 i=1
· ||x 1 (n − m)| − βk |||x 2 (n − m)| − βi | M h (2) y2 (n) = m x 2 (n − m)
(49)
m=0
+ +
K M
(2)
h mk e j ϕ2 (n−m) ||x 2 (n − m)| − βk |
m=0 k=1 K K M
(2)
h mki e j ϕ2 (n−m)
m=0 k=1 i=1
· ||x 2 (n − m)| − βk |||x 1 (n − m)| − βi |.
(50)
The meaning of each term in (49) and (50) is explicit by observation. The first terms represent the linear amplification of PAs, and the second terms explain the intraband distortion. Both of these two kinds belong to intraband cores. The last terms correspond to cross-band cores, which illustrate both the cross-band distortion and the envelope-dependent distortion. Fig. 2 shows the structure of the proposed behavioral model in band #1, where the modules “M” mean the memory effects, and the modules “β1 , β2 , . . . , β K ” represent the process of signal decomposition. In this way, envelope functions have been dissolved into model formulas, instead of appearing as a separate part in already published techniques. That is to say, the DPD cores are independent of envelope functions, so concurrent DB-ET PAs supplied by different envelopes can share the same behavioral model. As shown in Fig. 3, the proposed DPD system will largely reduce the implementation complexity, improve applicability, and also will achieve better generality in comparison to the conventional techniques in Fig. 1. Besides, in terms of (49) and (50), the proposal also provides a uniform model for both subbands.
F. Extension to Triband Scenario The proposed model for concurrent DB-ET PAs can also be extended to TB scenarios. Four different envelopes for TB-ET PAs can be characterized, similar to (1)–(4) of DB-ET PAs, which have been omitted here. The model formulas are shown in (51)–(53), where x 1 , x 2 , and x 3 represent the baseband input signals in three subbands, and y1 , y2 , and y3 are the
LU et al.: UNIFORM DPD FOR CONCURRENT MULTIBAND ET RF PAs
Fig. 5.
3953
(a) Test bench setup. (b) ET PA.
corresponding baseband output signals y1 (n) =
M
h (1) m x 1 (n −m)
m=0
+ +
K M
j ϕ1 (n−m) h (1) ||x 1(n −m)|−βk | mk e
m=0 k=1 K K M K
Fig. 6.
j ϕ1 (n−m) h (1) · ||x 1 (n −m)|−βk | mkri e
m=0 k=1 r=1 i=1
· ||x 2 (n −m)|−βr |||x 3 (n −m)|−βi | M y2 (n) = h (2) m x 2 (n −m)
(51)
+ +
(2)
h mk e j ϕ2 (n−m) ||x 2 (n −m)|−βk |
m=0 k=1 K K M K
(2)
h mkri e j ϕ2 (n−m) · ||x 2 (n −m)|−βk |
m=0 k=1 r=1 i=1
· ||x 1(n −m)|−βr |||x 3(n −m)|−βi | M h (3) y3 (n) = m x 3 (n −m)
(52)
m=0
+ +
K M
the intraband cores remain unchanged, including both linear and nonlinear terms. However, the cross-band cores need updating since one extra band is introduced. G. Model Complexity
m=0 K M
Tracked envelope.
(3)
h mk e j ϕ3 (n−m) ||x 3(n −m)|−βk |
m=0 k=1 K K M K
(3)
h mkri e j ϕ3 (n−m) · ||x 3 (n −m)|−βk |
m=0 k=1 r=1 i=1
· ||x 1(n −m)|−βr |||x 2 (n −m)|−βi |.
(53)
The intricacies of existing the DPD methods for concurrent TB PAs is already very obvious [19], [20], and considerations of envelope-dependent distortion will further increase the complicacy [21]. By contrast, the proposed behavioral model is independent of envelope functions and thus it outstands with good uniformity as well as reduced complexity, as shown in Fig. 4. Compared to DB-ET applications, for the extension,
The proposed method has a fixed structure for various types of envelope, as depicted in Figs. 3 and 4. In experiments, to improve the modeling accuracy and DPD performance, the threshold setting is the only parameter that needs adjustment. The coefficient number of the proposal with memory depth of M and threshold length of K is DB = (M + 1)(K 2 + K + 1) Nprop.
(54)
TB = (M + 1)(K 3 + K + 1). Nprop.
(55)
Apart from the advantages of this structure, due to the piecewise technique, the proposed method will reduce the number both of multiplications compared to the conventional models, in which these multiplications will inevitably lead to higher resource costs and possibly deteriorate the stability. In conclusion, the proposal has the advantages as follows: 1) a uniform model for all types of envelope; 2) a uniform model for subbands; 3) better stability and lower complexity. IV. E XPERIMENTAL R ESULTS In this section, the proposed technique is verified in both the DB and TB scenarios.
3954
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 9, SEPTEMBER 2018
Fig. 7.
Test case 1: PE. The curves of (a) PSD, (b) AM/AM and AM/PM in lower band, and (c) AM/AM and AM/PM in upper band.
Fig. 8.
Test case 2: AE. The curves of (a) PSD, (b) AM/AM and AM/PM in lower band, and (c) AM/AM and AM/PM in upper band.
Fig. 9.
Test case 3: GME. The curves of (a) PSD, (b) AM/AM and AM/PM in lower band, and (c) AM/AM and AM/PM in upper band.
A. Linearization of Concurrent DB-ET PAs A test bench for concurrent DB-ET PAs is set up for the experimental validation as depicted in Fig. 5(a). A DB longterm evolution OFDM signal with the peak-to-average power ratio (PAPR) of 8.74 dB and each bandwidth of 10 MHz is first generated in PC, then downloaded into the vector signal generator (R&S, SMW200A) and upconverted to 1.8 and 2.2 GHz, respectively. A combiner adds these two signals and sends them to a preamplifier. Later, they are fed into a PA based on CREE Inc., GaN transistors (CGH40010). Supplied with a drain voltage of 28 V, the fabricated PA can achieve a saturated output power of 42 dBm over 1.7–2.8 GHz. As shown in Fig. 5(b), the PA is supplied by a designed supply modulator with its output voltage shaped by the envelope signal that is generated by another vector signal generator
(R&S, SMBV100A). The drain supply voltage modulated by a linear regulator ranges from 12 to 27 V, the gain of which is 10 dB in this experiment. Timing alignment is needed between the output of the supply modulator and the PA input, which is realized by observation of the DB signal and the envelope on an oscilloscope (R&S, RTO2044) at the same time. The coupler sends a small portion of the DB signal to the oscilloscope, and the envelope is observed by inversing the “−I” signal from the vector signal generator (SMBV100A). The tracked voltage in Fig. 6 shows that the regulator tracks the 10-MHz bandwidth signal well. To quantify the tracking accuracy of the supply modulator, the normalized root-meansquare error (NRMSE) between the input and output signals of the supply modulator is 3.62%. Finally, the output I/Q data are captured by the spectrum analyzer (Keysight, N9030A) with the sampling rate of 80 MHz. The proposed model is set
LU et al.: UNIFORM DPD FOR CONCURRENT MULTIBAND ET RF PAs
Fig. 10.
3955
Test case 4: WFPE. The curves of (a) PSD, (b) AM/AM and AM/PM in lower band, and (c) AM/AM and AM/PM in upper band. TABLE I
P ERFORMANCE OF THE P ROPOSED M ETHOD IN DB T EST C ASES
with thresholds [0.14 0.29 0.43 0.57 0.71 0.86], M = 2, with 129 coefficients for each band, i.e., a total of 258 coefficients. In addition, efficiency of the PA is around 37.5%. To fully display the effectiveness and generality, we carried out several different test cases in both power-balanced and power-imbalanced scenarios. The 3-D-DMP model in [1] is also tested for comparison, which is set by N1 = 3, N2 = 3, N3 = 3, P1 = 5, P2 = 5, P3 = 3, M2 = 3, Q 2 = 5, K 3 = 3, and R3 = 3 and has 302 coefficients in total. 1) Test Case 1 (DB Power-Balanced Scenario—PE): In this case, two subband signals are balanced with the same input power of −13 dBm, and the output power of PA is 33.1 dBm. The dynamic supply is shaped by (1), namely, PE. Table I(i) lists values of the adjacent channel power ratio (ACPR) and NRMSE of the proposed method and also of the 3-D-DMP model. The proposal presents improvements around 17.2 dB for ACPR and 9.7% for NRMSE, in contrast to the 3-D-DMP model with 14 dB and 9.5%. In addition, Fig. 7 shows the measured normalized power spectral density (PSD) performance of the proposed and conventional methods as well as AM/AM and amplitude modulation-to-phase modulation (AM/PM) curves. 2) Test Case 2 (DB Power-Balanced Scenario—AE): Two subband signals are balanced and the input power of either of
Fig. 11. TB scenario. (a) Test case 5: PE. (b) Test case 6: AE. (c) Test case 7: GME. (d) Test case 8: WFPE.
them is −13 dBm, and the output power of PA is 33.1 dBm. The dynamic supply is shaped by AE. Table I(ii) lists ACPR and NRMSE values, also with the 3-D-DMP model as comparison. The proposal improves ACPR and NRMSE by 17.2 dB and 8.2%, while the 3-D-DMP method improves them by 14.8 dB and 8.2%. The PSD promotion of the proposal is shown in Fig. 8(a). AM/AM and AM/PM curves are also shown in Fig. 8(b) and (c).
3956
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 9, SEPTEMBER 2018
TABLE II P ERFORMANCE OF THE P ROPOSED M ETHOD IN TB T EST C ASES
3) Test Case 3 (DB Power-Balanced Scenario—GME): Two subband signals have balanced input power of −13 dBm, and the output power of PA is 33.1 dBm. The dynamic supply is shaped by GME, and (3) is set by p = 1.5. Table I(iii) lists ACPR and NRMSE values with the proposed DPD and without DPD, also in contrast to the 3-D-DMP DPD. The ACPR and NRMSE are enhanced by 17.2 dB and 9.4% with the proposal, but only by 14.5 dB and 9.3% with the 3-D-DMP technique. Fig. 9 shows the PSD improvements as well as AM/AM and AM/PM curves. 4) Test Case 4 (DB Power-Imbalanced Scenario—WFPE): For the power imbalanced scenario, the input power of the signal in band #1 centered at 2.2 GHz will be increased by 1 dB, i.e., −12 dBm, while band #2 centered at 1.8 GHz maintains at the level of −13 dBm. Thus, the output power of PA is 33.8 dBm. The dynamic supply is shaped by (4), namely, WFPE with ε = 0.68 and μ = 0.73. Table I(iv) lists ACPR and NRMSE values, and Fig. 10 shows the PSD curves as well as the AM/AM and AM/PM curves with and without the proposed method. The enhancements are 16.1 dB and 8.2% for the proposal, and 12.9 dB and 8% for the 3-D-DMP method. 5) Discussion: According to test cases 1–4, it is apparent that the uniform model of the proposal is effective to linearize the PA, which is dynamically supplied by four different envelopes. In addition, the performance is rather stable, with an average improvement of ACPR around 16.6 dB. By contrast, 3-D-DMP has to change the model formulas to adapt for different types of envelope. B. Linearization of Concurrent TB-ET PAs Using the same test bench in Fig. 5(a), the TB signal with each of 5-MHz OFDM signals is sent by SMW200A, with the PAPR around 9.74 dB. The sampling rate of the spectrum analyzer is 40 MHz. Specifically, a DB signal located in the lower and middle bands is sent by channel A, while channel B transmits a single-band signal in the upper band. The center frequencies of subbands are 1.80, 1.92, and 2.12 GHz, at the level of −15, −15, and −14 dBm, respectively. Correspondingly, the output power of PA is 31.9 dBm. The proposed TB model is set with thresholds [0.2 0.4 0.6 0.8], M = 2, with 207 coefficients for each band.
1) Test Case 5 (TB Scenario—PE): In this case, the TB signal is tracked by PE. Table II(i) lists values of ACPR and NRMSE, and Fig. 11(a) shows the curves of the normalized PSD with and without the proposed method. The performance is enhanced by 12.6 dB for ACPR and 5.3% for NRMSE in average. 2) Test Case 6 (TB Scenario—AE): In this case, AE is employed. Table II(ii) lists values of ACPR and NRMSE; Fig. 11(b) shows the PSD improvement using the proposed method. The results show the average reduction of ACPR and NRMSE by 12.5 dB and 5.9%, respectively. 3) Test Case 7 (TB Scenario—GME): Herein, the dynamic supply is shaped by GME with p = 1.5. Table II(iii) lists ACPR and NRMSE values, which shows the improvement of 12.2 dB and 5.7% after using the proposed DPD. Moreover, Fig. 11(c) shows the PSD curves of the proposal. 4) Test Case 8 (TB Scenario—WFPE): The dynamic supply is generated according to WFPE, with weighting factors of 0.52, 0.52, and 0.67, respectively. The proposed DPD improves ACPR and NRMSE by 12.7 dB and 5.6%, as listed in Table II(iv). The change in the frequency domain is shown by PSD curves in Fig. 11(d). 5) Discussion: It can be observed that the proposed model also shows effectiveness in the linearization of concurrent TB-ET PAs, which means PAs supplied by different envelopes can share the same DPD structure and resultantly the same hardware implementation. Although the degrees of distortion for different subbands might be different, the performance improvement almost maintains at a similar level. For instance, in PE supplying, the ACPR is reduced by 12.5, 12.3, and 13 dB in the lower, middle, and upper bands, respectively. V. C ONCLUSION In this paper, a uniform DPD solution to concurrent multiband ET PAs has been provided. By adopting the proposed method, a fixed setting of hardware is sufficient regardless of the envelope types that are used to dynamically supply the PAs. In this way, the implementation costs are expected to be largely cut down. Also, the embedded piecewise linear method ensures better stability. Consequently, the proposed method
LU et al.: UNIFORM DPD FOR CONCURRENT MULTIBAND ET RF PAs
has shown great potential in the wide applicability and strong stability in the future communication systems. R EFERENCES [1] P. L. Gilabert and G. Montoro, “3-D distributed memory polynomial behavioral model for concurrent dual-band envelope tracking power amplifier linearization,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 638–648, Feb. 2015. [2] P. Roblin, C. Quindroit, N. Naraharisetti, S. Gheitanchi, and M. Fitton, “Concurrent linearization: The state of the art for modeling and linearization of multiband power amplifiers,” IEEE Microw. Mag., vol. 14, no. 7, pp. 75–91, Nov. 2013. [3] Y. Lin, C. Quindroit, H. Jang, and P. Roblin, “3-D Fourier series based digital predistortion technique for concurrent dual-band envelope tacking with reduced envelope bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 9, pp. 2764–2775, Jul. 2015. [4] H. Sarbishaei, B. Fehri, Y. Hu, and S. Boumaiza, “Dual-band volterra series digital pre-distortion for envelope tracking power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 430–432, Jun. 2014. [5] Y. Lin, H. Jang, C. Quindroit, N. Naraharisetti, and P. Roblin, “New supply modulation optimization methodology for concurrent dual band envelope tracking power amplifier,” in Proc. 15th Annu. Wireless Microw. Techn. Conf. (WAMICON), Jun. 2014, pp. 1–4. [6] P. L. Gilabert, G. Montoro, D. López, and J. A. García, “3D digital predistortion for dual-band envelope tracking power amplifiers,” in Proc. Asia–Pacific Microw. Conf. (APMC), Nov. 2013, pp. 734–736. [7] Q. Lu et al., “A modified decomposed vector rotation behavioral model for concurrent dual-band envelope tracking RF power amplifiers,” in Proc. Asia–Pacific Microw. Conf. (APMC), Nov. 2017, pp. 264–267. [8] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [9] A. Zhu, “Decomposed vector rotation-based behavioral modeling for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 737–744, Feb. 2015. [10] A. K. Kwan, M. Younes, R. Darraji, and F. M. Ghannouchi, “On track for efficiency: Concurrent multiband envelope-tracking power amplifiers,” IEEE Microw. Mag., vol. 17, no. 5, pp. 46–59, May 2016. [11] J. Li and W. Chen, “A new envelope tracking technique for concurrent duan-band PAs,” in Proc. 2nd Int. Conf. Consum. Electron., Commun. Netw. (CECNet), Yichang, China, Apr. 2012, pp. 39–42. [12] L. O. Chua and S. M. Kang, “Section-wise piecewise-linear functions: Canonical representation, properties, and applications,” Proc. IEEE, vol. 65, no. 6, pp. 915–929, Jun. 1977. [13] L. O. Chua and A.-C. Deng, “Canonical piecewise-linear representation,” IEEE Trans. Circuits Syst., vol. CAS-35, no. 1, pp. 101–111, Jan. 1988. [14] J.-N. Lin and R. Unbehauen, “Adaptive nonlinear digital filter with canonical piecewise-linear structure,” IEEE Trans. Circuits Syst., vol. 37, no. 3, pp. 347–353, Mar. 1990. [15] J. Zhai, L. Zhang, Z. Yu, J. Zhou, and W. Hong, “A modified canonical piecewise-linear function-based behavioral model for wideband power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 3, pp. 195–197, Mar. 2016. [16] A. Zhu, P. J. Draxler, C. Hsia, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Digital predistortion for envelope-tracking power amplifiers using decomposed piecewise Volterra series,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 10, pp. 2237–2247, Oct. 2008. [17] N. Kelly, W. Cao, and A. Zhu, “Preparing linearity and efficiency for 5G: Digital predistortion for dual-band Doherty power amplifiers with mixed-mode carrier aggregation,” IEEE Microw. Mag., vol. 18, no. 1, pp. 76–84, Feb. 2017. [18] W. Cao and A. Zhu, “A modified decomposed vector rotation-based behavioral model with efficient hardware implementation for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 7, pp. 2443–2452, Jul. 2017.
3957
[19] F. Mkadem, A. Islam, and S. Boumaiza, “Multi-band complexityreduced generalized-memory-polynomial power-amplifier digital predistortion,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 6, pp. 1763–1774, Jun. 2016. [20] M. Younes, A. Kwan, M. Rawat, and F. M. Ghannouchi, “Linearization of concurrent tri-band transmitters using 3-D phase-aligned pruned Volterra model,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4569–4578, Dec. 2013. [21] A. K. Kwan et al., “Concurrent multi-band envelope modulated power amplifier linearized using extended phase-aligned DPD,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3298–3308, Dec. 2014.
Qianyun Lu received the B.E. degree in information science and engineering from Southeast University, Nanjing, China, in 2016, where she is currently pursuing the M.E. degree at the State Key Laboratory of Millimeter Waves. Her current research interests include the modeling and linearization of radio frequency and millimeterwave power amplifiers and the designing of beamforming networks.
Fan Meng received the B.E. degree in information science and engineering from Southeast University, Nanjing, China, in 2011, where he is currently pursuing the Ph.D. degree at the State Key Laboratory of Millimeter Waves. His current research interests include highly linear and efficient microwave power amplifier (PA) design and high-efficient Doherty and supply modulated PA design.
Na Yang received the B.E. degree in information science and engineering from Southeast University, Nanjing, China, in 2016, where he is currently pursuing the M.E. degree at the State Key Laboratory of Millimeter Waves. His current research interests include the modeling and linearization of radio frequency and millimeterwave power amplifiers.
Chao Yu (S’09–M’15) received the B.E. degree in information engineering and M.E. degree in electromagnetic fields and microwave technology from Southeast University (SEU), Nanjing, China, in 2007 and 2010, respectively, and the Ph.D. degree in electronic engineering from University College Dublin, Dublin, Ireland, in 2014. He is currently an Associate Professor with the State Key Laboratory of Millimeter Waves, School of Information Science and Engineering, SEU. His current research interests include microwave and millimeter-wave power amplifier modeling and linearization and 5G massive multi-in multi-out RF system design.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Optimal Sizing of Two-Stage Cascaded Sparse Memory Polynomial Model for High Power Amplifiers Linearization Siqi Wang , Mazen Abi Hussein, Olivier Venard, and Geneviève Baudoin
Abstract— The nonlinearities and memory effects of power amplifiers (PAs) can be compensated by multistage cascaded digital predistortion with low complexity. Compared with full multistage models, sparse multistage models may have the same linearization performances while their complexities are even lower. However, the choice of the model structure is very difficult, especially for the sparse models. For instance, if there are (K + 1)(L + 1) full models, then 2 K +L−2 different corresponding sparse models exist. An algorithm with the optimal search space definition is proposed in this paper to search for the optimal cascaded sparse model structure. The search criterion represents tradeoff between the modeling accuracy and identification complexity with a weight coefficient. A method to determine the value of the weight coefficient is proposed in this paper. The sparse model solution found by the proposed algorithm is evaluated with a three-way Doherty PA using a long term evolution-advanced signal. It is also compared with the solution of full model structure search. Index Terms— Cascaded structure, digital predistortion (DPD), high power amplifiers (PAs), memory polynomial (MP).
I. I NTRODUCTION
H
IGH power amplifier (PA) is one of the most power consuming components in wireless communications systems. However, the operating point with the best power efficiency of PA is not in its linear zone. Digital predistortion (DPD) is one of the most promising PA linearization approaches to enhance the tradeoff between the linearity and efficiency. It gives the inverse characteristics of a PA, which is applied upstream of the PA in a transmitter circuit [1]. The distortions of the PA are mainly its nonlinearity and its memory effects. In recent years, many models have been proposed and applied as structures of DPD. The Volterra series model is a good choice since its kernels present both the nonlinearity and memory effects. Unfortunately, there are too many kernels in Volterra series which largely increase the complexity of computation. By applying different Manuscript received January 12, 2018; revised April 19, 2018; accepted May 10, 2018. This paper is an expanded version from the Asia–Pacific Microwave Conference, Kuala Lumpur, November 13–16, 2017. (Corresponding author: Siqi Wang.) The authors are with the Systems Engineering Department, ESIEE Paris, ESYCOM, EA2552, Université Paris-Est, 93162 Noisy-Le-Grand, France (e-mail:
[email protected];
[email protected];
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2838126
pruning techniques, some simpler models, e.g., memory polynomial (MP) model [2], generalized MP (GMP) [3], and dynamic deviation reduction Volterra model [4], are proposed and are found efficient. Compared with these one-stage models, several studies have shown that a multistage model that is a cascade of several models with low nonlinearity order can reduce the complexity while keeping the linearization performance of a one-stage model with high nonlinearity order [5]–[8]. Blockoriented nonlinear (BONL) system is one of the most common multistage structures. In BONL cascaded DPD, the elementary blocks of the cascade are purely nonlinear blocks or linear filters. The simplest BONL structure is the cascade of a nonlinear block and a linear time-invariant (LTI) filter connected in series: the Hammerstein model or the Wiener model. They have been widely studied and developed [9], [10] for the DPD modeling. Compared with the one-stage model, the identification of the multistage model is more complicated. For each stage, the coefficients are linear to model output but not all linear to the final output of the system. An iterative identification algorithm for Hammerstein model has been proposed in [11], and it has been used in [12] to identify a Hammerstein model of DPD. An iterative method is applied to alternately adjust the coefficients of two stages. First of all, we need to initialize the values of coefficients of one stage. In [13], a Wiener model DPD is identified in two independent steps: the stage near to the PA is identified with indirect learning architecture (ILA) and the stage far from the PA is identified with direct learning architecture. We can consider both the nonlinear block and the LTI filter as particular cases of MP models. Thus, the Wiener model and the Hammerstein model can be generalized to a cascade of two MP models. Different identification algorithms are discussed in [6] for multistage DPD using ILA. In [14], a three-layer DPD is identified from the layer furthest from the PA to the nearest to the PA with ILA. For a good tradeoff between the modeling accuracy and identification complexity, it is important to know the optimal structure of a multistage model. An algorithm based on hill-climbing (HC) heuristic is proposed in [15] to study the structure determination of a
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
one-stage DPD model. It is compared with genetic algorithm in [16] and is proven efficient. This method has been extended for a two-stage MP model in [17] with a new criterion to represent the tradeoff between the modeling accuracy and the complexity of model identification. Only the full MP models are studied in this cascade DPD. Their structures are determined by their maximum nonlinearity order K and the maximum memory depth L. The number of model coefficients of each stage is simply (K + 1)(L + 1). Compared with full models, sparse models potentially have the same or even better performance than the full model but with lower complexity. By considering only the full models, we may have missed some sparse models with better performances. However, it is far more complicated to size the sparse models because there are too many possible structures. For an instance, if K = 3 and L = 2, we have the following possible arrays of nonlinear orders: {0, 1, 2, 3}, {0, 1, 3}, {0, 2, 3}, and {0, 3} and memory taps: {0, 1, 2} and {0, 2}. In this case, both full and sparse arrays are considered since it may not be necessary to implement all nonlinear orders or delay taps over the given ranges. Thus, there are a total of eight possible model structures in this case. In general, for each combination of K and L (K 1 and L 1), there are 2 K +L−2 possible model structures. There have been different studies on pruning techniques to transfer full models into sparse models [18]–[20]. The models are pruned in [18] according to the importance of the model basis functions. An error variation ranking method has been proposed in [19], which evaluates the error produced by omitting a basis function. In [20], the orthogonal matching pursuit method is used for sparse models. However, these methods are applied after the maximum nonlinearity order and the maximum memory depth are determined. In this paper, we present an algorithm to search the optimal sparse 2-MP cascaded DPD model without predetermining any parameter. This paper is an extension of [17]. In [17], we only made a search among the full 2-MP cascaded models. The discrete space composed of sparse models is more complete and more complicated than that of full models. Thus, we propose new notions to describe the space. And we apply an optimization method to reduce the complexity of algorithm, which is now very high due to the augmented search space. We make a discussion of the tradeoff between the modeling accuracy and identification complexity. A weight coefficient is used to combine them in one search criterion. We present the computation of the identification complexity, and we also propose a method to estimate the value of the weight coefficient. We test the sparse 2-MP model found by the proposed method on the test bench and compare it with one-stage GMP models and the full 2-MP model solution of [17]. The outline of this paper is as follows. In Section II, we present the two-stage sparse MP model and the identification of DPD. Section III gives a discussion of tradeoff between the DPD modeling accuracy and identification complexity and proposes a method to estimate the weight coefficient in the tradeoff. The search algorithm is presented in Section IV.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1.
Two-stage MP model.
Fig. 2.
ILA.
The test bench for experimental implementations is described in Section V. In Section VI, the experimental results are presented and discussed. Finally, Section VII gives discussion and brief conclusion. II. M ULTISTAGE C ASCADED DPD I DENTIFICATION The multistage cascaded DPD is a chain of multiple individual models concatenated in a series. We denote the stage nearest to the PA by “stage 1”. The furthest stage is denoted by “stage M” for M-stage structure. In this paper, we study the simplest cascaded model and two-stage MP model, as illustrated in Fig. 1. The relation between the model input u(n) and output x 1 (n) can be expressed as akl kl [u(n)] x 2 (n) = k∈K2 l∈L2
x 1 (n) =
bkl kl [x 2 (n)]
(1)
k∈K1 l∈L1
where x 2 (n) is the output signal of stage 2, kl [x(n)] = x(n − l)|x(n − l)|k are basis functions, Ki and Li are the arrays of nonlinearity orders and of memory depths in stage i , respectively (i = 1, 2 in this case). The 2-MP cascaded DPD can be identified using ILA as shown in Fig. 2. The models of each stage are identified in postinverse blocks and then applied upstream of PA. Here, z p1 (n) and z p2 (n) represent the output of postinverse one and postinverse two block with respective inputs z p2 (n) and z(n). z(n) is equal to y(n) divided by G, the nominal gain of the PA. The coefficients bkl and akl are estimated by minimizing the error between z p1 (n) and x 1 (n) and the error between z p2 (n) and x 2 (n), respectively. We denote the total number of coefficients of stage i by Ri , where Ri = card(Ki )card(Li ).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: OPTIMAL SIZING OF TWO-STAGE CASCADED SPARSE MP MODEL FOR HIGH PAs LINEARIZATION
The models of two stages can be identified offline with only one data set of PA input and output signals acquired from the testbench. The number of data samples is denoted by N. The initial models of both stages are transparent. Thus x 1 (n) = x 2 (n) = u(n). First, we identify stage 2 that has R2 coefficients z p2 = Za
(3)
With the solution of aˆ , we calculate the new values of zˆ p2 as zˆ p2 = Z aˆ .
(4)
Then for stage 1 that has R1 coefficients, we have z p1 = Zˆ p2 b
TABLE I C OMPUTATIONAL C OMPLEXITY OF THE i TH S TAGE I DENTIFICATION IN E ACH S TEP
(2)
where z p2 =[z p2 (1), . . . , z p2 (N)]T is the vector of output samples of the postinverse two, Z is a N × R2 matrix of basis functions kl [z(n)], and a is the vector of coefficients akl . We estimate a by minimizing 2 = |z p2 −x 2 |2 with the least square (LS) method. The solution of (2) using pseudoinverse is aˆ = [Z H Z]−1 Z H x2 .
2) In step 2, the matrix Q H x is computed. There are 2N R2 − R2 flops in this step. 3) In step 3, an upper triangle matrix R cˆ = Q H x is solved for cˆ. There are R22 flops in this step. Table I summarizes roughly the number of complex multiplications needed in one postinverse block identification, where N is the length of data set for DPD identification. If N is large compared with Ri , we can estimate the computation load by O(2N Ri2 ). Identification complexity for an M-stage cascaded DPD can be represented as
(5)
where z p1 =[z p1 (1), . . . , z p1 is the vector of output samples of the postinverse 1, Zˆ p2 is a N × R1 matrix of basis functions kl [ˆz p2 (n)], and b is the vector of coefficients bkl . We use LS to estimate b
C=
(N)]T
H H ˆ p2 bˆ = [Zˆ p2 Zˆ p2 ]−1 Z x1 .
(6)
Once both the stages are identified, the signal of the cascaded postinverse output is given as ˆ zˆ p1 = Zˆ p2 b.
3
(7)
III. T RADEOFF B ETWEEN THE ACCURACY AND C OMPLEXITY A DPD model is mainly evaluated by two factors: the modeling accuracy and the complexity. Modeling accuracy corresponds to the linearization performance of the DPD model. In our paper, the modeling accuracy is represented by the normalized mean square error (NMSE) between the PA input x 1 (n) and postinverse output zˆ p1 (n) N 2 n=1 |x 1 (n) − zˆ p1 (n)| . (8) NMSEdB = 10 log10 N 2 n=1 |x 1 (n)| There are two complexities of a model: 1) model complexity that is determined by the number of coefficients and 2) identification complexity that is discussed as follows. Many approaches can be used to solve (3) and (6). It should H be noticed that the matrices Z H Z and Zˆ p2 Zˆ p2 are generally badly conditioned. A possible technique is to use QR decomposition. For instance, (3) can be solved by three steps. 1) In step 1, we compute a QR factorization Z = QR, where Q is an N × N square matrix and R is an N × R2 upper triangle matrix. In case of Householder triangularization method, there are 2N × R22 − (2/3)R23 flops (floating point operations) [21].
M
Ri2 .
(9)
i=1
A tradeoff has been proposed in [15] combining model accuracy and model complexity. In case of multistage cascaded DPD models, different structures with the same number of coefficients have the same model complexity but not the same identification complexity. Compared with one-stage models, multistage models may have advantages on the identification complexity. For instance, a multistage model that has the same total number of basis functions with a single stage model (R = R1 + R2 ) may have less identification complexity (R 2 R12 + R22 ). Thus, we propose a tradeoff combining the modeling accuracy and the identification complexity to evaluate the DPD model in this paper J = Y + λC
(10)
+ Y is the NMSE value in dB (8), and λ where C = is the tradeoff weight coefficient. While comparing two models Mi and M j with different structures, we denote the differences of NMSEdB and complexity between Mi and M j by Yi j and Ci j , respectively, R12
R22 ,
Yi j = Yi − Y j Ci j = Ci − C j .
(11)
Two elements Mi and M j are considered as equivalent if Yi + λCi = Y j + λC j or equivalently Yi j = −Ci j . (12) λ The tradeoff is clearly illustrated by (12), and we can interpret it in this way: we accept to degrade the NMSEdB by an amount Yi j if the reduction in complexity |Ci j | is
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II L IST OF S PARSE A RRAYS
equal to or better than (Yi j /λ). Thus, we can determine the value of λ easily. For instance, if we consider that reducing 500 × (2N) flops has the same importance as improving NMSEdB by 1 dB, we should set λ = (1/500) = 2e−3 . IV. S EARCH A LGORITHM The performance of a model cannot be known unless we identify its coefficients and test it for the NMSE value (8). As there are too many possible structures to test, it is wise to use an optimization algorithm to reduce the number of tested models. HC heuristic has a rapid progress that will decrease the execution time significantly [22]. In [17], HC has been applied on sizing full two-stage MP models of DPD and is proven efficient. It is an algorithm used to find the point with the best merit value. The merit value of the point Mi here refers to the criterion J (Mi ) (10) which leads to a tradeoff between the accuracy and complexity. First, we should choose a model as the starting point at the first iteration. Then, at each iteration, we test the neighbors of this point. The best neighbor element is taken as the starting point of the next iteration. The procedure ends when there is no better neighbor and the starting point of the last iteration is taken as the solution. Different from [17], the discrete space composed of sparse two-stage MP models cannot be simply coordinated by the maximum values of K1 , L1 and K2 , L2 . We propose new notions to construct the discrete space. Since the MP models in (1) should always contain the element a00 x(n) or b00 x(n), K (and L) should starts from zero. All possible solutions for K (or L) can be listed as in Table II. The rows represent the number of elements in the array, which is denoted by S. If max(K) = K , the columns I are the indices of possible combinations of the elements of vector [1 : K ] taken S − 1 at a time (element zero is always in the array). The structure of a 2-MP cascaded model can then be represented by eight parameters. 1) SK1 , IK1 ; SL1 , IL1 . 2) SK2 , IK2 ; SL2 , IL2 . Thus, we can construct an 8-D discrete space where each point represents a possible model structure. As each array can be represented by at least two parameters, the proposed definition is the optimal definition of the search space which has the minimum number of dimensions. We select a point as the starting point for HC heuristic at the first iteration. At each iteration, the starting point and its neighbors are tested and their merit values are calculated. A neighbor of point Mi is defined as an eight-tuple (SK1,i +δ1,
Fig. 3.
Test bench for experimental implementation.
IK1,i + δ2 , SL1,i + δ3 , IL1,i + δ4 , SK2,i + δ5 , IK2,i + δ6 , SL2,i , SL2,i + δ8 ), where δ1,...,8 ∈ [0, ±1] and δ1,...,8 are not zero at the same time. All parameters should be positive. Thus, the size of the neighborhood is maximum 38 − 1. We denote the subspace of neighbors by T . Compared with [17], the search space of sparse models is much larger and more complex. It is necessary to improve the efficiency of the algorithm. To reduce the number of neighbors to test, we propose a constraint d on the variation of complexity of neighbors compared with the starting point as shown in Algorithm 1. The neighbors whose complexities exceed the limit will not be tested. Algorithm 1 Overview of Search Algorithm Set the iteration counter q=1; Initialize the starting point M0(q) at 1-st iteration; Evaluate the initial element merit value J (M0(q) ); Ms(q−1) = M0(q) ; while (1) do if Mi(q) ∈ T(q) && |Ci(q) − C0(q) | d then Compute J (Mi(q) ) else continue; end Ms(q) = arg minMi(q) ∈S(q) J (Mi(q) ) ; if J (Ms(q) ) < J (M0(q) ) then M0(q+1) = Ms(q) ; q = q + 1; else end while loop; end end Take M0(q) as the solution;
V. T EST B ENCH D ESCRIPTION The Doherty PA in the test bench is a three-way Doherty PA with three LDMOS BLF7G22LS-130 with a linear gain of 16 dB. Its peak output power can reach 57 dBm (500 W). We use a 20-MHz long-term evolution-advanced signal as stimulus with peak-to-average power ratio equal to 8 dB. The test bench is illustrated in Fig. 3. The block diagram of the test bench is described in Fig. 4. We generate the baseband IQ signal in the PC workstation
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: OPTIMAL SIZING OF TWO-STAGE CASCADED SPARSE MP MODEL FOR HIGH PAs LINEARIZATION
Fig. 4.
Fig. 5.
5
Test bench block diagram (AWG and VSA).
Fig. 6.
Exhaustive results of one-stage MP models.
Fig. 7.
Full 2-MP model structure search path with λ = 2e−3 .
AM/AM and AM/PM curves of driver and three-way Doherty PA.
and feed it to the driver through an arbitrary waveform generator (AWG) with 200-MHz sampling frequency. The baseband signal is upconverted to a carrier frequency of 2.14 GHz. The signal at the output of the PA is captured by a vector spectrum analyzer (VSA). It downconverts the signal to baseband and provides to the PC workstation for postdistortion processing. The input and output baseband signals are synchronized in time to be used by the identification algorithm. The [amplitude modulation (AM)/AM] and AM/phase modulation (PM) curves of this PA are illustrated in Fig. 5. The computations described hereafter have been done on an Intel Xeon CPU E3-1245 v3 at 3.40 GHz. VI. E XPERIMENTAL VALIDATION A. Exhaustive Search In order to evaluate the effectiveness of the proposed algorithm, we need an exhaustive search as a reference to get the optimal (Y, C) couple. However, the number of possible sparse 2-MP models is too enormous to be plotted. First, we make the exhaustive searches (for those with number of coefficients at most 50, each parameter at most 10) of one-stage full MP and sparse MP models to see their relation. The increase of the number of MP model coefficients can hardly improve the value of NMSEdB when the number of coefficients is large. Thus, we show only the full MP models with less than 50 coefficients. The tested MP models are illustrated in Fig. 6. There are 912 989 pink points that represent sparse models and 88 black points that represent full models. We can observe that the cloud of sparse MP models has very similar envelop with that of full MP models.
Then, we simply take the exhaustive results of full 2-MP models as the reference instead of sparse 2-MP models, though their envelops are not exactly overlapped. B. Results of the Proposed Algorithm In our implementation, we take the point (1, 1, 1, 1, 1, 1, 1, 1) that corresponds to the simplest model M1 (n) = b00 a00 u(n) K1 = [0] L1 = [0] K2 = [0] L2 = [0]
(13)
as the initial starting point. We take 2e−3 as the value of λ in the tradeoff between the modeling accuracy and complexity of models identification (10). First, we recall the search result for full 2-MP model in [17] which starts from the same initial point (13). Totally 368 different cascaded MP model structures are tested in 10 iterations as shown in Fig. 7 during 35.6 s. The structure of the solution is K1 = [0 : 9] L1 = [0 : 1] K2 = [0 : 2] L2 = [0 : 2]
(14)
with NMSE of −29.78 dB. The complexity R12 + R22 of this model is 481. For the search of optimal sparse 2-MP model, the search path is illustrated in Fig. 8. The blue light points are all possible full two-stage cascaded MP models.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
Fig. 8.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Sparse 2-MP model structure search path with λ = 2e−3 .
Fig. 9.
The points of the neighborhood tested at each iteration are in different colors. The point with an arrow “1” is the starting point M0(1) at the first iteration. The points around with blue color are the neighbors of M0(1) and the green points are neighbors of M0(2) . The other black circles show the best neighbors picked among their neighbors as the starting point for the next iterations. There are totally 2389 different sparse 2-MP model structures tested in 13 iterations during 2.59 min. The global optimum Mmin denotes the full 2-MP model with the lowest merit value, which is represented by a blue star. The structure of the solution (the red diamond) is K1 = [0] L1 = [0 1 2 3 4 5 9] K2 = [0 : 7] L2 = [0 1]
(15)
with NMSE of −30.11 dB. Its identification complexity is 305. The solution of full 2-MP model (14) is also located in this figure at the pink square. The merit value line in Fig. 8 splits the plane into two subspaces: the points on this line have the same merit value, the points in the subspace above have worse merit values and the points below have better merit value. As the merit value line passing through the sparse model solution and the full model solution is in the subspace above the line, we can see that (15) is closer to Mmin than (14), and thus (15) is better. By observing their values of Y and C, we can also see that their values of Y are very close which means they may have very similar performances in linearization, but the complexity C of (15) is much less than (14). As two values of λ have been evaluated in [17], here we also test the algorithm when λ = 1e−3. The search path is illustrated in Fig. 9. There are 7597 models tested in nine iterations during 18.1 min. The found solution structure is K1 = [0 : 8] L1 = [0 1 4] K2 = [0 : 5] L2 = [0 : 2]
(16)
with NMSE of −31.51 dB. Its identification complexity is 1053. Compared with the solution of full 2-MP model in [17] (the pink square in Fig. 9), its merit value is better.
Sparse 2-MP model structure search path with λ = 1e−3 .
Fig. 10. Comparison of spectra of Doherty PA output linearized by found DPD models. TABLE III P ERFORMANCE C OMPARISON OF S PARSE AND F ULL 2-MP DPD M ODELS
C. Linearization Performances on Test Bench Both (15) and (14) are tested as DPD on our test bench. The linearization performances of these two cascaded models are shown in Fig. 10 and Table III. Both DPDs can linearize the PA with an improvement of adjacent channel power ratio (ACPR) up to 16 dB and the error vector magnitude is limited to 4%. In Table III, ACPR L1 gain represents the improvement of ACPR in the first lower adjacent channel, and ACPR U1 gain represents that in the first upper adjacent channel. The two DPDs have very similar performances. The ACPR L1 gain of the sparse 2-MP model is a little worse but its identification complexity is only 63% of that of full 2-MP model. Two one stage GMP models are tested for comparison: one has 23 coefficients as (15) and another is the optimal GMP found in [15]. The “GMP 23 coef” model has better
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: OPTIMAL SIZING OF TWO-STAGE CASCADED SPARSE MP MODEL FOR HIGH PAs LINEARIZATION
linearization performance compared with (15) but its identification complexity is almost double. The “GMP 38 coef” model can improve the ACPR by 2 dB but it has 15 more coefficients and its identification complexity is almost 5 times of that of (15). VII. C ONCLUSION In this paper, we propose an algorithm based on HC heuristic to determine the structure of a sparse DPD model with a good tradeoff between the modeling accuracy and complexity of model identification. The discrete search space is defined in a novel way which minimizes the space dimension number. We also propose a method to determine the value of the weight coefficient in the tradeoff. The solutions of the search algorithm are applied successfully to a threeway Doherty PA. The results confirm that the proposed method works well in sizing a multistage cascade sparse DPD model. Comparing with the full 2-MP model solution in [17], the sparse 2-MP solution has very similar linearization performance but much less complexity. R EFERENCES [1] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [2] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [3] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [4] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [5] J. X. Qiu, D. K. Abe, T. M. Antonsen, B. G. Danly, B. Levush, and R. Myers, “Higher-order predistortion linearisation using cascaded lower-order nonlinearities,” Electron. Lett., vol. 40, no. 17, pp. 1068–1070, Aug. 2004. [6] M. A. Hussein, V. A. Bohara, and O. Venard, “Multi-stage digital predistortion based on indirect learning architecture,” in Proc. IEEE Int. Conf. Acoust., Speech Signal Process. (ICASSP), May 2013, pp. 6093–6097. [7] F. M. Barradas, L. C. Nunes, J. C. Pedro, T. R. Cunha, P. M. Lavrador, and P. M. Cabral, “Accurate linearization with low-complexity models using cascaded digital predistortion systems,” IEEE Microw. Mag., vol. 16, no. 1, pp. 94–103, Feb. 2015. [8] S. Wang, M. A. Hussein, O. Venard, and G. Baudoin, “Performance analysis of multi-stage cascaded digital predistortion,” in Proc. 40th Int. Conf. Telecommun. Signal Process. (TSP), Jul. 2017, pp. 248–275. [9] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Augmented Hammerstein predistorter for linearization of broad-band wireless transmitters,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 4, pp. 1340–1349, Jun. 2006. [10] S. Chen, “An efficient predistorter design for compensating nonlinear memory high power amplifiers,” IEEE Trans. Broadcast., vol. 57, no. 4, pp. 856–865, Dec. 2011. [11] K. S. Narendra and P. G. Gallman, “An iterative method for the identification of nonlinear systems using a Hammerstein model,” IEEE Trans. Autom. Control, vol. TAC-11, no. 3, pp. 546–550, Jul. 1966. [12] L. Ding, R. Raich, and G. T. Zhou, “A Hammerstein predistortion linearization design based on the indirect learning architecture,” Proc. IEEE Int. Conf. Acoust., Speech, Signal Process. (ICASSP), vol. 3, May 2002, pp. III-2689–III-2692. [13] R. Braithwaite and S. Carichner, “An improved Doherty amplifier using cascaded digital predistortion and digital gate voltage enhancement,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3118–3126, Dec. 2009.
7
[14] M. Rawat, K. Rawat, and F. M. Ghannouchi, “Three-layered biased memory polynomial for dynamic modeling and predistortion of transmitters with memory,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 3, pp. 768–777, Mar. 2013. [15] S. Wang, M. A. Hussein, O. Venard, and G. Baudoin, “Optimal sizing of generalized memory polynomial model structure based on hill-climbing heuristic,” in Proc. 46th Eur. Microw. Conf. (EuMC), Oct. 2016, pp. 190–193. [16] S. Wang, M. A. Hussein, G. Baudoin, O. Venard, and T. Gotthans, “Comparison of hill-climbing and genetic algorithms for digital predistortion models sizing,” in Proc. IEEE Int. Conf. Electron., Circuits Syst. (ICECS), Dec. 2016, pp. 289–292. [17] S. Wang, M. A. Hussein, O. Venard, and G. Baudoin, “Optimal sizing of cascaded digital predistortion for linearization of high power amplifiers,” in Proc. Asia–Pacific Microw. Conf. (APMC), Nov. 2017, pp. 1–4. [18] X. Yu and H. Jiang, “Digital predistortion using adaptive basis functions,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 12, pp. 3317–3327, Dec. 2013. [19] W. Chen, S. Zhang, Y.-J. Liu, F. M. Ghannouchi, Z. Feng, and Y. Liu, “Efficient pruning technique of memory polynomial models suitable for PA behavioral modeling and digital predistortion,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2290–2299, Oct. 2014. [20] J. Reina-Tosina, M. Allegue-Martínez, C. Crespo-Cadenas, C. Yu, and S. Cruces, “Behavioral modeling and predistortion of power amplifiers under sparsity hypothesis,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 745–753, Feb. 2015. [21] L. Trefethen and D. Bau, III, Numerical Linear Algebra. Philadelphia, PA, USA: SIAM, 1997. [22] S. Russell and P. Norvig, Artificial Intelligence: A Modern Approach, 3rd ed. Upper Saddle River, NJ, USA: Prentice-Hall, 2009.
Siqi Wang received the B.S. degree from the Huazhong University of Science and Technology, Wuhan, China, in 2012, the M.S. degree from the University of Paris-Sud, Orsay, France, in 2014, and the Ph.D. degree from the University of ParisEst Marne La Vallée, Champs sur Marne, France, in 2018. He is currently a Post-Doctoral Fellow with GeePs, Centralesupélec, Gif-sur-Yvette, France. His current research interests include wireless communications, digital predistortion, energy efficiency optimization for wireless communication systems.
Mazen Abi Hussein received the B.Eng. degree in electrical engineering from Lebanese University, Beirut, Lebanon, in 2004, and the M.S. and Ph.D. degrees in electrical engineering from the polytechnique school of Nantes, Nantes, France, in 2005 and 2009, respectively. From 2006 to 2009, he was a Research Engineer with Thales Communications, Cholet, France. From 2009 to 2012, he was with ESIEE Paris, Noisy-leGrand, France. From 2012 to 2017, he was an Assistant Professor with ESIEE Paris. He is currently an Assistant Professor with the University Institute of Technology, Lebanese University, Sidon, Lebanon. His current research interests include wireless communications, nonlinear behavioral modeling, linearization techniques for high-power transmitters, and 4G/5G transceiver architectures.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Olivier Vernard received the M.Sc. degree in electronics, sensors, and signal processing from Université Paris-Sud, Orsay, France, in 1996. He was with the Center National d’Etude des Telecommunication (Paris), where he was involved in network technologies and embedded electronics. Since 1997, he has been an Assistant Professor with ESIEE Paris, Noisy-le-Grand, France. He is currently a member of the ESYCOM Laboratory, Université Paris-Est, Champs-sur-Marne, France. His current research and teaching interests include signal processing algorithms for sensors and digital communications, nonlinear signal processing for linearization, adaptive signal processing, wireless communications, networks protocols, embedded electronics, and Internet of Things.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Geneviève Baudoin received the bachelor’s degree from the Ecole Nationale Supérieure des Télécommunications, Paris, France, in 1977, and the Ph.D. degree from the University of Marne La Vallée, Marne La Vallée, France, in 2000. She was a Lecturer with the University of ParisOuest, Nanterre, France. She joined the Philips Research Laboratory, as a Research Engineer, where she was involved in ultrasound signal processing for medical applications. She is currently a Professor with ESIEE Paris, Noisy-le-Grand, France, where she is the Chair of the System Engineering Department. She is a member of the ESYCOM Research Laboratory, Université Paris-Est Marne-la-Vallée, Champs-sur-Marne, France. Her current research and teaching interests include digital signal processing, wireless communications, wireless transmitter linearization, transceiver architectures and algorithms for wireless communications and localization systems.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
An Analytical Design Method for High-Speed VCSEL Driver With Optimized Energy Efficiency David Schoeniger , Ronny Henker , and Frank Ellinger, Senior Member, IEEE
Abstract— A universal analytical design approach for laser diode drivers (LDDs) is presented and verified. All design parameters are derived analytically, taking the vertical-cavity surface-emitting laser (VCSEL) characteristics into account. Two optimization strategies are proposed. First, the power consumption is minimized for the highest achievable optical modulation amplitude (OMA) and extinction ratio (ER). Second, the highest achievable data rate (DR) is considered to maximize the energy efficiency. As a result, a simple differential amplifier can be implemented as an LDD to achieve energy efficiencies and DRs comparable or even higher than the one of more complex designs utilizing preemphasis, feedforward equalization, and pulse amplitude modulation. Therefore, drivers designed with this holistic analytical approach accommodate well the demands for high DRs, high energy efficiency, high compactness, high reliability, and low latency in future optical data links. A compact LDD is designed with an active area of only 0.15 × 0.12 mm2 in a 130-nm SiGe BiCMOS technology. A DR of 40 Gbit/s with bit error rates > w N (z N ) w1 (z 0 ) = [T ] (13) w1< (z 0 ) w< N (z N ) where Fig. 2.
nth TL section.
the same topology, but differ in the propagation constants and characteristic impedances. III. D ISPERSION F UNCTION F ORMULATION For multilayered waveguides, the most systematic and convenient way to derive the DF is based on the TL network analog of Fig. 1. Different approaches can be used in this framework, which may be classified as transfer matrix methods, where the matrix description may be based on the standing-wave [18], [19] or traveling-wave [20], [21] representation. Furthermore, either current-based or voltagebased formulation can be employed, depending on whether TM or TE modes are considered. In what follows, we use the traveling-wave description and, for brevity’s sake, employ the voltage-based formulation irrespective of the polarization. Hence, with reference to Fig. 2, we express the solution of the TL equations (3) in the nth section as > 1 1 wn (z) Vn (z) = (8) In (z) Yn −Yn wn< (z) with
− j k (z−z ) n−1 wn> (z) e zn = < wn (z) 0
[T ] = [T1 ][T2 ] · · · [TN ] =
0
e j kzn (z−zn )
Vn> Vn<
(9)
where we omit the polarization superscripts p for simplicity, but the formulation applies to both TM and TE cases if the appropriate propagation constants and characteristic impedances are used. In the above, wn> (z) and wn< (z) are the forward- and backward-propagation voltage waves, and Vn> and Vn< are their amplitudes. For later reference, we also → − indicate in Fig. 2 the voltage reflection coefficients n and ← − n , looking out of the terminals of the nth section. The forward- and backward-propagating voltage waves at the input and output terminals of section n are related as > > wn+1 (z n ) wn (z n−1 ) ] = [T (10) n < (z ) wn< (z n−1 ) wn+1 n where [Tn ] is the T -matrix of section n, given as [22] − → 1 r n e j θn e j θn [Tn ] = − → − j θn → − e − j θn t n r ne
(11)
where we have introduced θn = k zn dn , with dn = z n − z n−1 , and Z n+1 − Z n − → → − → r n= r n. (12) t n =1+− Z n+1 + Z n
T11 T21
T12 T22
(14)
is the global T -matrix of the stack. As the T -matrix links the tangential fields on both sides of the multilayer waveguide, it may be argued on physical grounds that it should be pole free [23]. To investigate the analytical properties of [T ] in more detail, consider the product of the T -matrices of two consecutive TL sections M11 M12 (15) [Tn−1 ][Tn ] = M21 M22 where the element M11 is given as → → (e j θn + − r n−1 − r n e− j θn )e j θn−1 M11 = . → − → (1 + r )(1 + − r ) n−1
(16)
n
Assuming that n < N, we note that changing the sign of k zn → → in the above changes the sign of θn and turns − r n−1 and − rn into their inverses, but M11 remains unchanged, and is thus an even function of k zn . Also, M11 is finite for k zn → 0 in both TM and TE cases. It can be shown that the remaining elements of [M] possess the same properties; therefore, the global matrix [T ] does not have any branch points or poles associated with the finite-thickness layers. However, there are branch points associated with the semi-infinite outer layers, h e and kbN . and inverse-square-root singularities at kb1 Since both decaying and growing exponentials appear in [Tn ], the T -matrix formulation may become numerically unstable when thick layers are present. For this reason, it is preferable to compute [T ] via the S-matrix, which relates the outgoing waves to the incoming waves at the input and output ports of the network [14]. Hence, for a single section n, we can write > < wn (z n−1 ) wn (z n−1 ) ] = [S (17) n > (z ) < (z ) wn+1 wn+1 n n where the layer S-matrix is readily found as − ← − → r n e− j 2θn t n+1 e− j θn [Sn ] = − → − j θn ← r−n+1 t ne
(18)
with Z n − Z n+1 ← r−n+1 = Z n + Z n+1
← − r−n+1 t n+1 = 1 + ←
(19)
and we note that only decreasing exponentials appear. In → the last section, − r N = 0 and ← r−N+1 = 0 should be used. Extending (17) to the entire stack of N layers, we write < > w1 (z 0 ) w1 (z 0 ) = [S] (20) w> w< N (z N ) N (z N )
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
where
[S] = [S1 ] [S2 ] · · · [S N ] =
S11 S21
S12 S22
(21)
is the global S-matrix, which is given as a star product of the layer S-matrices [24, p. 28]. It can be shown that the global T - and S-matrices are related as [25] −1 −1 −S21 S22 S21 (22) [T ] = −1 −1 S11 S21 S12 − S11 S21 S22 −1 so that T11 can be computed as S21 , and so on. In the recursive star product algorithm [26]–[28], all four S-parameters in (21) are simultaneously computed, whereas just one or two may be needed in the particular DF. Therefore, it is more efficient to compute the global S-parameters separately [16], [17], [29], [30]. Hence, by enforcing the continuity of voltage and current at the junctions between TL sections, it can be shown that the down-looking reflection coefficients in Fig. 2 are given by the recursion − → − → r n + n+1 e− j 2θn+1 → − , n = N − 1, . . . , 1 (23) n = → − → 1+− r n n+1 e− j 2θn+1 − → with N = 0, and the reflection coefficient looking into the → − − → → − port at z 0 is S11 ≡ = 0 = 1 e− j 2θ1 . Similarly, the uplooking reflection coefficients are given as ← − ← r−n+1 + n e− j 2θn ← − n+1 = , n = 1, . . . , N − 1 (24) ← − 1+← r−n+1 n e− j 2θn ← − with 1 = 0, and the reflection coefficient looking into the ← − ← − ← − port at z N is S22 ≡ = N+1 = N e− j 2θ N . With the downand up-looking reflection coefficients for all sections available, the global transmission coefficients between the ports at z 0 and z N may be found as → − j θn N−1 (1 + − n )e − → − j θN S21 ≡ τ = e (25) → − − j 2θn+1 n=1 1 + n+1 e − N−1 (1 + ← n+1 )e− j θn+1 ← − − j θ1 S12 ≡ τ = e . (26) ← − 1 + n e− j 2θn n=1
In Appendix A, this S-matrix formulation is extended to accommodate infinitesimally thin conductive sheets, such as graphene or phosphorene, inserted between layers. Only the computation of the reflection coefficients (23) and (24) needs to be modified. The pole-free property of the T -matrix makes it a convenient vehicle for the development of the DFs suitable for use with CIM [20], [31], [32]. We begin with the general case, where the stack of Fig. 1 is shielded at z 0 and z N by planes ← − − → with as yet unspecified surface impedances Z 1 and Z N . In the TL network, these shields are represented by shunt impedances ← − − → ← − Z and Z N , or equivalently by shunt admittances Y 1 and →1 − Y N , which give rise to the end conditions ← − ← − V1 (z 0 ) + Z 1 I1 (z 0 ) = 0 or Y 1 V1 (z 0 ) + I1 (z 0 ) = 0 (27) → − VN (z N ) = Z N I N (z N ) or → − I N (z N ) = Y N VN (z N ). (28)
From (8), we obtain the relations > 1 1 w1 (z 0 ) V1 (z 0 ) = I1 (z 0 ) Y1 −Y1 w1< (z 0 ) > 1 1 w N (z N ) = w< 2 1 N (z N )
ZN −Z N
VN (z N ) I N (z N )
(29) (30)
which are linked by (13) and (14). Upon combining the above with the end conditions (27) and (28) and dropping some arbitrary multiplicative factors, we arrive the most general form of the DF given as T11 T12 a N =0 (31) a1 , b1 T21 T22 b N with the terminal factors ← − ← − ← − ← − [a1 , b1 ] = [1+ Z 1 Y1 , 1− Z 1 Y1 ] or [ Y 1 +Y1 , Y 1 −Y1 ] → − → − 1+ Y N Z N Z N +ZN aN or . = − → − → bN 1− Y N Z N Z N −ZN
(32) (33)
Although the first (impedance) and second (admittance) variants of the terminal factors given above are equivalent in general, only one or the other is applicable with perfect shields, when the terminal impedances become zero or infinity. For open structures, a judicious choice of the terminal factor, depending on the field polarization (TM or TE), will result in DFs with the most desirable behavior at kb1 and kbN . If the multilayer waveguide is unshielded above and below, the TL network is impedance-matched at both ends, and hence → − ← − we may substitute Z 1 = Z 1 and Z N = Z N . Since in the TM case Z 1 and Z N vanish at kρ = kb1 and kbN , respectively, we use the impedance variants of the terminal factors. In the TE case, however, Z 1 and Z N become infinite at those points, and we employ the admittance variants. We thus find that (31) reduces to T11 Z N = 0 or T11 /Z 1 = 0, which in view of (22) and (25) result in the DFs 1 ZN or (34) f (kρ ) = − → → τ τ Z 1− for the TM and TE cases, respectively. Both functions in (34) have branch points associated with k z1 and k z N , but there are no singularities at kb1 and kbN . Since for an unshielded waveguide the propagation constants do not depend on the location of the virtual interfaces in the cladding and substrate, we may set θ1 = θ N = 0 during the root search. If the stack is unshielded above but is backed by PEC below, → − ← − we set Z 1 = Z 1 and Z N = 0, which leads to (T11 − T12 ) Z N = 0 or (T11 − T12 )Z N /Z 1 = 0, and the appropriate DFs are ← − ← − 1+ 1+ (35) Z N or ZN. f (kρ ) = − → → τ τ Z 1− Note that the impedance terminal factor is used for PEC shield. If in this problem PEC is replaced by PMC, we substitute → − Y N = 0 in the admittance version of the terminal factor, which leads to T11 + T12 = 0 or (T11 + T12 )/Z 1 = 0, and the DFs become ← − ← − 1− 1− f (kρ ) = − or . (36) → → Z 1− τ τ
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MICHALSKI AND MUSTAFA: NUMERICALLY STABLE AND RELIABLE COMPUTATION OF ELECTROMAGNETIC MODES
The impenetrable shielding below removes the branch points associated with k z N in (35) and (36), but the branch points associated with k z1 persist, since the structure is open above. The PEC and PMC ground planes can also be used to separately compute the odd and even modes in symmetric waveguides. Finally, for a layered waveguide sandwiched between two ← − → − PEC ground planes, we use Z 1 = 0 and Z N = 0 in the impedance variants of the terminal factors, which leads to (T11 + T21 − T12 − T22 )Z N = 0, and the appropriate DF is ZN ← − → − → τ−− τ ]− f (kρ ) = [(1 + )(1 + ) − ← . → τ
Fig. 3. Schematic of the four-sheeted Riemann surface with fundamental branch cuts associated with k z1 and k z N .
(37) IV. C OMPUTATION OF M ODAL F IELDS
This function is devoid of branch points and is regular at kb1 and kbN . Still other PEC/PMC shielding configurations are possible, but are omitted for brevity. The DF can also be formulated based on the principle that the reflection coefficient looking into the waveguide surface from the upper half-space should be infinite at a resonance, which leads to [33] 1 f (kρ ) = → −
5
(38)
← − and similarly for a waveguide open below, in which case should be used. A related principle is the transverse resonance method, which states that the sum of the impedances looking in both directions out of any point on the TL network analog should vanish at the modal propagation constant [34, Sec. 3.6], [35, Sec. 2.4]. Applying this condition at an interface of a finite-thickness layer n, we obtain the DF [36] ← −− → (39) f (kρ ) = 1 − n n e− j 2θn where the right-hand expression also appears in the denominators of the layered media Green’s functions [16], [17]. This DF is often encountered in the literature expressed in equivalent forms involving tan or arctan functions. A variant of (39) given in [37] is purported to only have a single root for each specified modal index. However, the proposed expression comprises logarithms of the reflection coefficients, which introduce discontinuities each time an argument exceeds π in magnitude. The DFs (38) and (39) are not appropriate for use with CIM, as the pole-free property is not guaranteed. Since the square-root functions k z1 and k z N are doublevalued in the kρ -plane with branch points kb1 and kbN , the DFs for a multilayer waveguide open above and below can be defined over a four-sheeted Riemann surface, as schematically shown in Fig. 3 [38, Appendix 15.B], where the sheets are joined along the hyperbolic branch cuts emanating from kb1 and kbN , so that crossing a cut results in a continuous transition from one sheet to another [39]. The roots of the DF may be located anywhere on this Riemann surface, but only those on the top (proper) sheet I belong to the bound modes, while those located on sheet III belong to the improper modes “leaking” into the substrate (layer N), and so on. Some of these roots may migrate from one sheet to another, as the frequency is changed. Two of the sheets are eliminated when the stack is shielded above or below.
For every TM or TE transverse propagation constant kρ , which is a root of the appropriate DF on a specified Riemann sheet, the corresponding voltage and current distribution along the z-axis can readily be computed using the TL network formalism of Section III, combined with the S-parameter algorithm for greater stability. The modal fields then follow from (1) or (2), where we may set uˆ = xˆ and vˆ = yˆ without loss of generality. Since the modes are resonant fields that can exist without external excitation, we may arbitrarily set the voltage at any internal junction i , where 1 ≤ i ≤ N − 1, to unity, i.e., we may specify Vi ≡ V (z i ) = 1. The voltage and current at any field point z within section n ≥ i + 1 can then be found by a repeated application of the formula [17], [30] Vn−1 e− j kzn (z−zn−1 ) − → − j 2kzn (zn −z) Vn (z) ] (40) = → − j 2θn [1 ± n e − Z n In (z) 1 + ne where the ± signs correspond to the upper and lower expressions on the left-hand side, whereas for z in section n ≤ i , we apply the formula Vn e− j kzn (zn −z) ← − − j 2kzn (z−zn−1 ) Vn (z) ]. (41) = ← − − j 2θn [1 ± n e −Z n In (z) 1 + ne The reflection coefficients required in the above expressions are computed by the recursions (23) and (24), or (46) and (47) if conductive sheets are present, initialized to 0, −1, or +1 for impedance-matched, PEC, or PMC terminations, respectively. In all computations, k z1 and k z N should be evaluated on the Riemann sheet to which kρ belongs. After the modal field is thus computed for the required number of points z ∈ [z 0 , z N ], it can be normalized in any convenient way—for example, we may divide this distribution by the complex field value at the point where the magnitude is maximum. V. ROOT S EARCHING S TRATEGY To locate the roots of the DF f (kρ ) within a “search box” bounded by a closed contour C oriented in a positive sense, we apply the CIM given in Appendix B. The crucial step is the computation of the moments
1 f (kρ ) kρn (42) sn = dkρ , n = 0, 1, 2, . . . 2π j C f (kρ ) by an adaptive numerical quadrature [40], where s0 gives the number of zeros enclosed. The DF in the above is evaluated
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 4. Search boxes in the kρ -plane. Special treatment is required for Cb1 , C1 , and CbN , which are intersected by branch cuts.
by the stable method of Section III, and its derivative by AD, which is outlined in Appendix C. The advantage of AD is that only the subroutine that computes f (kρ ) needs to be supplied, which is then linked with a module overloading the operators and intrinsic functions, so that the value of f (kρ ) is also automatically computed with no approximation errors. As regards the shape of C, circular [10], [41]–[43] (also annular [7], [44]) and rectangular [11], [12] contours have typically been employed. Although circular contours are appealing in view of the exponential convergence of the trapezoidal rule for periodic functions [45], we have opted for rectangular contours here, since they may easily be subdivided, if needed [46]–[48]. Such a refinement of the search box may be required if the number of roots within, as predicted by s0 , is deemed too large for a reliable computation. Furthermore, some of the rectangle sides may have to be moved, and the search box enlarged, if the adaptive numerical quadrature detects a spiked integrand behavior, indicative of a root on or very close to C [49]. Hence, several search boxes, some possibly overlapping, may be required to cover the entire region of interest. Once the Cauchy moments are computed for a given box, the zeros enclosed are found from the associated eigenvalue problem, as described in Appendix B, using a standard linear algebra package [50]. The accuracy of the Cauchy moments (42) is markedly improved if kρn is replaced by (kρ − kc )n , where kc is the centroid of the current search box. The so-computed roots are shifted and must be restored by the addition of kc . Finally, the Newton rule [51, Sec. 3.8(ii)] is applied to refine the roots to machine accuracy, which typically requires just a few iterations. This step is easily implemented, since AD returns the exact value of f (kρ ) together with f (kρ ). The application of CIM may be hindered by the presence of one or two branch points and the associated branch cuts in the region where the roots may be located. Some possible search boxes for the case with two branch points kb1 and kbN , corresponding to the external unshielded layers, are also shown in Fig. 4, where kmax is the wavenumber of the layer with the largest real part of the refractive index, which can be used, together with the branch points, to guide the selection of the appropriate search box [52]. Since the DF is required to be analytic inside and on C, branch cuts must not be crossed
Fig. 5. Search box Cb1 comprising two rectangular loops around the branch point kb1 , located partially on the top Riemann sheet where m k z1 < 0 (solid line) and on the adjacent improper sheet where m k z1 > 0 (dotted line). The passage between sheets occurs at the branch cuts (wiggly lines) where m k z1 = 0. The shaded top sheet region where e k z1 > 0 is bounded by hyperbolae passing through the branch points. The signs of e k z1 are reversed in the corresponding regions of the improper sheet.
over, as this would result in discontinuities, and branch points must be excluded. Note that C2 in Fig. 4 does not run into any branch cuts and lies entirely on a single Riemann sheet, and hence CIM may be applied to this search box without modifications. In the case of C1 , which has a branch cut passing through it, we let the contour “slide” under the cut onto the adjacent Riemann sheet, thus preserving the analyticity of the DF [53]. Hence, taking the top-left vertex as the starting point, we begin the C1 integration on sheet I, temporarily slide under the branch cut into sheet III, and close the loop on sheet I. In order not to miss any roots within C1 on both sides of the branch cut, we then repeat the integration, but now begin on sheet III, with a detour into sheet I. Search boxes such as Cb1 and CbN may also be needed if there are roots very close to kb1 or kbN , and it may be impractical to use a contour excluding a branch point. In such cases, the contour comprises two loops, traversing the adjacent Riemann sheets without jumping over the branch cut, while excluding the contribution from the branch point itself, where the latter may be evaluated analytically [10], [21]. Such a treatment of Cb1 is illustrated for a lossy medium case in Fig. 5, where the sides of the double-loop rectangular contour are sequentially numbered from 1 to 8. To ensure the continuity of the integrand along this contour, the branches of the square roots defining k z1 and k z N are chosen according to the rules given in Table I, where it assumed that e kbN > e kb1 , as in Fig. 4. We have omitted in Fig. 5 a contour of vanishing radius excluding the branch point, which loops twice around kb1 in the negative sense [10], as there is no contribution from this contour in our DF formulation. When the cladding and substrate media are identical, so that kbN = kb1 , the branch selection rules for k z N are the same as those for k z1 in Table I. The treatment of the contour CbN in Fig. 4 is similar, except that m k z1 < 0 is enforced on all eight sides, with the branches of k z N selected according to the k z1 column in Table I.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MICHALSKI AND MUSTAFA: NUMERICALLY STABLE AND RELIABLE COMPUTATION OF ELECTROMAGNETIC MODES
7
TABLE I
TABLE II
S QUARE ROOT B RANCH S ELECTION RULES ON Cb1
P ROPAGATION C ONSTANTS OF PEC-BACKED F IVE -L AYER WAVEGUIDE∗
The double-loop contours around the branch points may be dispensed with by using a single-valued function obtained as a pointwise product of the DFs evaluated on all Riemann sheets involved [54]. In this method, the search box Cb1 in Fig. 5 would consists of a single rectangular loop, using f (kρ ) obtained as a product of the relevant DFs evaluated on sheets I and II, with the branches of k z1 and k z N selected according to Fig. 3. The advantage of this approach is the simplicity of implementation, but the product DFs may become quite complex and the roots are more difficult to compute. The branch points can also be removed by a suitable transformation into another complex plane before the root search is performed. In the case of a single branch point, the sine transformation may be employed [55], [56], but if two branch points need to be removed, the appropriate transformations become more complex [57]–[59]. Such mappings distort and compress the kρ -plane, thus complicating the selection and placement of search boxes, and making the search more challenging if the roots are clustered. If overlapping search boxes arise, there may be duplicate roots, which should be pruned. Roots belonging to various Riemann sheets can be sorted by computing s0 on an arbitrarily small circular loop centered on the root in question, with the DF restricted to a specified sheet. The result should be binary, either (near) zero or unity, but this test can fail in some rare situations where the root is very close to a branch cut. Alternatively, the roots under test can be used as a starting point for the Newton method, and if the iteration converges, the root belongs to the specified sheet. The above search procedures have been implemented in the complex plane normalized to k0 , using modern Fortran with double-precision arithmetic [60]. Although some efficiency enhancements are possible—for example, by integrating all N Cauchy moments simultaneously and reusing the computed values of the logarithmic derivative of the DF—such measures are hardly necessary, since the method is not numerically demanding relative to modern computing resources. VI. VALIDATION AND E XAMPLE A PPLICATIONS As the first application example, we address a problem previously considered in [61] and [62]. The waveguide, which is open above and backed by a PEC plane, consists of five nonmagnetic isotropic layers with the dielectric constants and thicknesses (in mm) given as (1 = 1, open), (2 = 2.1, d2 = 1.5), (3 = 12.5, d3 = 1.0), (4 = 9.8, d4 = 1.5), and (5 = 8.6, d5 = 1.5, backed by PEC) at the frequency f = 30 GHz.
TABLE III P ROPAGATION C ONSTANTS OF F IVE -L AYER U NIAXIAL WAVEGUIDE∗
As in [61] and [62], we also consider a lossy variant, where all finite-thickness layers have the loss tangent tan δ = 0.02. The propagation constants of the bound (top sheet) TM and TE modes computed by the CIM-AD method are listed in Table II. Here and henceforth, the propagation constants are normalized to the free-space wavenumber k0 , taking the speed of light in vacuo as 2.99792458 × 108 m/s. Except where noted, our results agree with those in [62] to the number of digits therein. We found it necessary to use the search box Cb1 of Fig. 4 (the kbN branch cut is absent in this case) to correctly locate the root TE2 , which is very close to the branch point kb1 and is easily missed. It took 15.6 ms to compute the three TM roots in Table II (i7-4800 CPU at 2.7 GHz, 8-GB RAM). As the second example, we address a problem previously considered in [63]. The waveguide, which is open above and below, consists of five nonmagnetic layers with the refractive indices and thicknesses (in nm) given as (n 1 = 1, open), (n 2 = 0.067 − j 4.05, d2 = 126.56), (n t 3 = 1.990, n z3 = 2.007, d3 = 341.712), (n t 4 = 2.2465, n z4 = 2.3266, d4 = 841.624), and (n t 5 = 2.2865, n z5 = 2.2026, open) at λ0 = 632.8 nm. Note that the second layer, which is made of silver, is plasmonic (the real part of the dielectric function being negative [64]), and the media of the layers below are lossless with uniaxial anisotropy. The propagation constants of the TM bound modes computed by the CIM-AD method are listed in Table III. Our results agree with those in [63] to the number of digits therein. The next example is a dielectric waveguide with gain and losses, considered in [14]. The structure, which is open above and below, consists of five nonmagnetic isotropic layers with the refractive indices and thicknesses (in nm) given as (n 1 = 1, open), (n 2 = 3.4 − j 0.002, d2 = 600), (n 3 = 3.6 + j 0.01, d3 = 400), (n 4 = 3.4 − j 0.002, d4 = 600), and (n 5 = 1, open) at λ0 = 1.3 μm. Note that the third-layer medium is active. In Table IV are listed all TE plus the first three TM propagation constants of bound modes computed by the CIM-AD method. As could be expected, both gain and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE IV P ROPAGATION C ONSTANTS OF F IVE -L AYER WAVEGUIDE W ITH G AIN AND L OSSES ∗
TABLE V L EAKY-WAVE P ROPAGATION C ONSTANTS OF 11-L AYER WAVEGUIDE∗
Fig. 6. Modal field plots for the TM0 and TM1 roots from Table V. Corresponds to [12, Fig. 4].
loss modes are present. Our results are in perfect agreement with those given in [14]. As the next example, we have analyzed an unshielded, isotropic, lossless, 11-layer waveguide previously considered in [12]. The layer refractive indices and thicknesses (in μm) are given as (n 1 = 1, open), (n 2 = 1.46, d2 = 2), (n 3 = 1.5, d3 = 0.448), (n 4 = 1.46, d4 = 4), (n 5 = 1.5, d5 = 0.448), (n 6 = 1.46, d6 = 2), (n 7 = 1.5, d7 = 0.448), (n 8 = 1.46, d8 = 4), (n 9 = 1.5, d9 = 0.448), (n 10 = 1.46, d10 = 2), and (n 11 = 3.5, open) at λ0 = 632.8 nm. The propagation constants of the first six TM and first six TE leaky-wave (sheet III) modes, computed by the CIM-AD method using the search box of type C1 in Fig. 4, are listed in Table V, and complete agreement with the results in [12] is noted. The computed modal field distributions for the TM0 and TM1 roots from Table V are shown in Fig. 6. These plots are similar to those presented in [12], but direct comparison is impossible, since the normalization used in that reference was not specified. The leakage of both modes into the high-index substrate is evident. We next apply the CIM-AD method to a waveguide consisting of, from top to bottom, semi-infinite silica cladding (1 = 3.9), graphene sheet of infinitesimal thickness, 20μm air gap (2 = 1), and semi-infinite silicon substrate (3 = 11.9). This structure may be considered an upsidedown Otto setup for the excitation of the surface plasmon polariton (SPP) in graphene [65], [66]. To account for the spatial dispersion, we use for the surface conductivity of
graphene the kρ -dependent formula [67], [68]
μc log 2 1 + cosh e2 k B T kB T σ = −j 2 −1 π h¯ (ω − j τs )2 − v 2F kρ2
(43)
where e is the electron charge, k B and h¯ are the Boltzmann and Planck constants, and the other parameters are given as T = 300 K, τs = 0.5 ps, μc = 0.05 eV, and v F = 106 m/s. The square root in the denominator of (43), taken to have negative imaginary part, introduces an additional branch point to the DF in the fourth quadrant of the kρ -plane, which must be considered in the CIM-AD procedure. Fortunately, in the case considered here this branch point and the associated branch cut lie outside the search region of interest. When the Fermi velocity v F is set to zero in the surface conductivity model (43), the latter reduces to the “local” expression [69], which is kρ -independent, and thus the complication mentioned above does not arise. The computed dispersion diagrams for the bound (sheet I) TM mode in the range 0.1 < f < 6 THz are shown in Fig. 7, where we use the notation kρ /k0 = β − j α. The plots distinguished by symbols are obtained using the nonlocal conductivity formula (43) and those without symbols are based on the local conductivity model, which neglects spatial dispersion. It is noted that the differences between these results are small and only significant near the upper end of the frequency range considered. We have found that this structure also supports many leaky-wave (sheet III) modes, which are progressively more attenuated. The dispersion diagram of the first of these modes is very similar to that given in Fig. 7.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MICHALSKI AND MUSTAFA: NUMERICALLY STABLE AND RELIABLE COMPUTATION OF ELECTROMAGNETIC MODES
Fig. 7. Phase and attenuation constants of the bound TM mode in a graphenesheet dielectric waveguide with an air gap, obtained using the nonlocal (symbols) and local conductivity formulas.
TABLE VI TM P ROPAGATION C ONSTANTS OF 63-L AYER M ETAL -D IELECTRIC WAVEGUIDE
9
thick, N = 32). The so-obtained propagation constants of the bound (sheet I) TM modes are listed in Table VI, where the mode numbering was chosen so that the even and odd subscript numbers refer to even (PMC bisection) and odd (PEC bisection) modes. An exception was made for the first even mode, which is listed as TMS , in view of its similarity the SPP supported by a multilayer plasmonic waveguide [70]. The other propagation constants are spread just above the real axis in the second quadrant of the kρ -plane and are interlacing with increasing density and with monotonically decreasing imaginary parts. This unusual placement was previously encountered in [71], where these propagation constants manifest themselves as poles of the Green functions of the layered slab. The homogenized effective medium [72], [73] corresponding to the above metal-dielectric multilayer has the parameters t = 1.01− j 9.94×10−2, z = −159.76− j 150.79, and ν e = −142.11 − j 163.29, and is thus strongly anisotropic with indefinite permittivity. We have found that the equivalent homogenized slab supports just a single even TM mode with the propagation constant kρ /k0 = 0.99939543295 − j 0.058953581944, which is reminiscent of the Zenneck wave at a lossy dielectric surface [74]. VII. C ONCLUSION
As the final example, we consider a waveguide suspended in air, made of 30 metal-dielectric bi-layers with the pitch of = d M + d D = 22 nm, of which d M = 10.2212 nm is occupied by silver ( M = −5.637 − j 0.214) and d D = 11.7788 nm by strontium titanate ( D = 6.76) at λ0 = 422 nm. Before the application of the CIM-AD procedure, this structure was symmetrized by removing the bottom half of the last dielectric layer and transferring it to the top of the stack, which made it possible to compute the even and odd modes separately. To this end, the now symmetric multilayer was bisected by either PMC or PEC impedance plane and the rootsearch procedure was applied to one-half of the stack (330 nm
By combining the T -matrix and S-matrix formulations with the TL network analog of a planar multilayered waveguide, pole-free and stable DFs, which are also devoid of singularities at the branch points associated with open external layers, have been developed for various shielding configurations. The number of layers can be arbitrary and the media may be lossy, active, plasmonic, or uniaxial, and multiple graphene sheets at the interfaces are accommodated without difficulty. The modal propagation constants are computed as roots of the DF by the CIM applied on rectangular contours encircling branch points on the four-sheeted Riemann surface, so that both bound and leaky modes can readily be found. For the CIM to be reliable, the derivative of the DF is required, which is obtained by AD, implemented by operator overloading in modern Fortran. The availability of the exact DF derivative makes it possible to further refine the roots to machine accuracy with just a few Newton iterations. The method has been validated on various benchmark problems involving open or shielded multilayered waveguides. The numerical stability and reliability of the CIMAD procedure presented here makes it a good candidate for implementation in automatic (no person in the loop) modal analysis software. A PPENDIX A T REATMENT OF C ONDUCTIVE S HEETS IN THE T RANSMISSION -L INE N ETWORK A NALOG Since some applications involve infinitesimally thin conductive sheets at the interfaces between layers [75]–[79], we extend the TL network analog for such a case and give the modified expressions for the S-matrix parameters used in our DF formulation. Hence, let us assume that in the structure of Fig. 1, there is a sheet with conductivity σn at the interface z n , n = 1, . . . , N − 1. It then follows from (1) and (2) that in
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
the TL network, either TM or TE, this sheet is represented by a shunt admittance σn at the junction z n . Upon enforcing the voltage continuity and the Kirchhoff current law at z n , we find that the T -matrix should be modified as − → 1 (1+σn ζn )e j θn r n +σn ζn e j θn Tn = − (44) → → (− r n −σn ζn )e− j θn (1−σn ζn )e− j θn tn where we have introduced 1 Z n Z n+1 = . ζn = Yn + Yn+1 Z n + Z n+1
(45)
Furthermore, the recursions (23) and (24) now take the form → − − → r n − σn ζn + (1 − σn ζn ) n+1 e− j 2θn+1 → − (46) n = → − → 1 + σn ζn + (− r n + σn ζn ) n+1 e− j 2θn+1 ← − ← r−n+1 − σn ζn + (1 − σn ζn ) n e− j 2θn ← − n+1 = (47) ← − 1 + σn ζn + (← r−n+1 + σn ζn ) n e− j 2θn and the modified parameters S11 and S22 readily follow. The modified reflection coefficients should be used in (25) and (26) to compute S21 and S12 . In the special case of a single conductive sheet at the interface between two half-spaces, using (46) in the DF (38) results in Z 2 + Z 1 + σ1 Z 1 Z 2 f (kρ ) = (48) Z 2 − Z 1 − σ1 Z 1 Z 2 whereas the DFs given in (34) become f (kρ ) = Z 2 + Z 1 + σ1 Z 1 Z 2
1 1 or + + σ1 Z2 Z1
(49)
for the TM and TE cases, respectively. We note that the latter two expressions are pole-free and, in view of (4), are devoid of singularities at the branch pints kb1 and kb2 . A PPENDIX B C AUCHY I NTEGRATION M ETHOD Let C be a Jordan curve in the complex z-plane and f (z) an analytical function, which has mutually distinct zeros z 1 , z 2 , . . . , z N and no poles within C. It then follows from Cauchy’s residue theorem that:
N f (z) 1 sn = dz = zn z in , n ≥ 0 (50) 2π j C f (z) i=1
f (z)
where is the derivative of f (z) [4]. The Cauchy moments sn are evaluated by a numerical quadrature, and the true value of s0 is an integer equal to the number of zeros N. Let PN (z) =
N
(z − z k ) =
k=1
N
ak z k , a N = 1
(51)
k=0
be the monic associated polynomial of degree N, whose zeros are equal to the roots of f (z) enclosed by contour C. It then follows that the coefficients ak can be computed from the Cauchy moments by the recursion: k−1 1 a N−k = − (52) sk + a N−k+i si , k = 1, . . . , N k i=1
where the sum is zero if the lower limit exceeds the upper. Once the polynomial coefficients have been determined, the sought-after zeros can be computed as roots of PN (z). For N ≤ 4, these roots are known exactly [51, Sec. 1.11(iii)], and for N > 4, they can be computed as eigenvalues of the companion matrix [80] ⎤ ⎡ 0 −a0 ⎢1 0 −a1 ⎥ ⎥ ⎢ (53) ⎢ .. ⎥ . . . .. .. ⎣ . ⎦ 1 −a N−1 Since the polynomial roots tend to be extremely sensitive to perturbations of the coefficients, the search contour has to be judiciously selected, or subdivided, so that the number of zeros N is not excessive. The zeros z 1 , z 2 , . . . , z N can also be computed as eigenvalues of a pencil comprising two N × N Hankel matrices constructed directly from the Cauchy moments s0 , . . . , s2N−2 and s1 , . . . , s2N−1 [41], [43]. The disadvantage of this approach is that 2N Cauchy moments are needed, rather than N + 1. On the other hand, this method is suitable for the derivative-free version of CIM [81]–[84]. It should be noted, however, that the latter is not foolproof, since the number of zeros within a search box cannot be determined with absolute certainty, unless some information on the bounds of the DF derivatives is available [9]. A PPENDIX C AUTOMATIC D IFFERENTIATION AD [60], [85] uses exact formulas along with numerical values, rather than expression strings arising in symbolic differentiation, but it involves no approximation error of numerical differentiation. We implement AD in the so-called forward mode by means of operator overloading, which is a feature of modern programming languages, including Fortran. AD utilizes the variables of derived type, say, autodiff, which comprise both the variable and its derivative. The arithmetic operators and intrinsic functions are overloaded to also compute derivatives using the chain rule, and these redefinitions are encapsulated in a separate AD module. The only change required in the original DF code, besides invoking the AD module, is the declaration of the variables as autodiff. As a result, the subroutine that computes DF also returns the exact value of its derivative. ACKNOWLEDGMENT This paper is dedicated to the memory of Professor Jean Van Bladel. R EFERENCES [1] C.-I. G. Hsu, R. F. Harrington, D. Zheng, and K. A. Michalski, “On the mode spectrum of a parallel-plate waveguide filled with a twolayer uniaxial medium,” Microw. Opt. Technol. Lett., vol. 5, no. 7, pp. 318–321, 1992. [2] W. H. Press, B. P. Flannery, S. A. Teukolsky, and W. T. Vetterling, Numerical Recipes in FORTRAN; The Art of Scientific Computing, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 1992. [3] C. Liu, H.-X. Zhou, Q. Tang, Z. Song, and W. Hong, “A homotopy method for locating all the poles of a parallel plate waveguide with the PML,” IEEE Trans. Antennas Propag., vol. 61, no. 9, pp. 4685–4694, Sep. 2013.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MICHALSKI AND MUSTAFA: NUMERICALLY STABLE AND RELIABLE COMPUTATION OF ELECTROMAGNETIC MODES
[4] L. M. Delves and J. L. Lyness, “A numerical method for locating the zeros of an analytic function,” Math. Comput., vol. 21, no. 100, pp. 543–560, 1967. [5] P. Lampariello and R. Sorrentino, “The ZEPLS program for solving characteristic equations of electromagnetic structures (computer program descriptions),” IEEE Trans. Microw. Theory Techn., vol. MTT-23, no. 5, pp. 457–458, May 1975. [6] B. K. Singaraju, D. V. Giri, and C. E. Baum, “Further developments in the application of contour integration to the evaluation of the zeros of analytical functions and relevant computer programs,” AFWL, Kirtland AFB, Albuquerque, NM, USA, Math. Note 42, Mar. 1976. [7] L. C. Botten, M. S. Craig, and R. C. McPhedran, “Complex zeros of analytic functions,” Comput. Phys. Commun., vol. 29, pp. 245–259, May 1983. [8] L. F. Abd-Elall, L. M. Delves, and J. K. Reid, “A numerical method for locating the zeros and poles of a meromorphic function,” in Numerical Methods for Nonlinear Algebraic Equations, P. Rabinowitz, Ed. London, U.K.: Gordon & Breach, 1970, ch. 3, pp. 47–59. [9] X. Ying and I. N. Katz, “A simple reliable solver for all the roots of a nonlinear function in a given domain,” Computing, vol. 41, no. 4, pp. 317–333, 1989. [10] R. E. Smith, S. N. Houde-Walter, and G. W. Forbes, “Numerical determination of planar waveguide modes using the analyticity of the dispersion relation,” Opt. Lett., vol. 16, no. 17, pp. 1316–1318, 1991. [11] E. Anemogiannis and E. N. Glytsis, “Multilayer waveguides: Efficient numerical analysis of general structures,” J. Lightw. Technol., vol. 10, no. 10, pp. 1344–1351, Oct. 1992. [12] C. Chen, P. Berini, D. Feng, S. Tanev, and V. P. Tzolov, “Efficient and accurate numerical analysis of multilayer planar optical waveguides in lossy anisotropic media,” Opt. Express, vol. 7, no. 8, pp. 260–272, 2000. [13] M. S. Kwon, “A numerically stable analysis method for complex multilayer waveguides based on modified transfer-matrix equations,” J. Lightw. Technol., vol. 27, no. 20, pp. 4407–4414, Oct. 15, 2009. [14] T. D. Visser, H. Blok, and D. Lenstra, “Modal analysis of a planar waveguide with gain and losses,” IEEE J. Quantum Electron., vol. 31, no. 10, pp. 1803–1810, Oct. 1995. [15] N. Marcuvitz and J. Schwinger, “On the representation of the electric and magnetic fields produced by currents and discontinuities in wave guides. I,” J. Appl. Phys., vol. 22, no. 6, pp. 806–819, Jun. 1951. [16] K. A. Michalski and J. R. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [17] K. A. Michalski, “Electromagnetic field computation in planar multilayers,” in Encyclopedia of RF and Microwave Engineering, vol. 2, K. Chang, Ed. Hoboken, NJ, USA: Wiley, 2005, pp. 1163–1190. [18] F. E. Gardiol, “Anisotropic slabs in rectangular waveguides,” IEEE Trans. Microw. Theory Techn., vol. MTT-18, no. 8, pp. 461–467, Aug. 1970. [19] J. Chilwell and I. Hodgkinson, “Thin-films field-transfer matrix theory of planar multilayer waveguides and reflection from prism-loaded waveguides,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 1, no. 7, pp. 742–753, 1984. [20] L. M. Walpita, “Solutions for planar optical waveguide equations by selecting zero elements in a characteristic matrix,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 2, no. 4, pp. 595–602, 1985. [21] R. E. Smith, S. N. Houde-Walter, and G. W. Forbes, “Mode determination for planar waveguide using the four-sheeted dispersion relation,” IEEE J. Quantum Electron., vol. 28, no. 6, pp. 1520–1526, Jun. 1992. [22] J. M. Vigoureux, “Polynomial formulation of reflection and transmission by stratified planar structures,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 8, no. 11, pp. 1697–1701, 1991. [23] F. Mesa and M. Horno, “Computation of proper and improper modes in multilayered bianisotropic waveguides,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 1, pp. 233–235, Jan. 1995. [24] M. C. Pease, III, Methods of Matrix Algebra. New York, NY, USA: Academic, 1965. [25] R. E. Collin, “Theory and design of wide-band multisection quarterwave transformers,” Proc. IRE, vol. 43, no. 2, pp. 179–185, Feb. 1955. [26] D. Y. K. Ko and J. C. Inkson, “Matrix method for tunneling in heterostructures: Resonant tunneling in multilayer systems,” Phys. Rev. B, Condens. Matter, vol. 38, no. 14, pp. 9945–9951, 1988. [27] N. P. K. Cotter, T. W. Preist, and J. R. Sambles, “Scattering-matrix approach to multilayer diffraction,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 12, no. 5, pp. 1097–1103, 1995.
11
[28] L. Li, “Formulation and comparison of two recursive matrix algorithms for modeling layered diffraction gratings,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 13, no. 5, pp. 1024–1035, 1996. [29] C.-I. G. Hsu, R. F. Harrington, K. A. Michalski, and D. Zheng, “Analysis of multiconductor transmission lines of arbitrary cross section in multilayered uniaxial media,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 1, pp. 70–78, Jan. 1993. [30] K. A. Michalski and J. R. Mosig, “The Sommerfeld half-space problem revisited: From radio frequencies and Zenneck waves to visible light and Fano modes,” J. Electromagn. Waves Appl., vol. 30, no. 1, pp. 1–42, 2016. [31] K. H. Schlereth and M. Tacke, “The complex propagation constant of multilayer waveguides: An algorithm for a personal computer,” IEEE J. Quantum Electron., vol. 26, no. 4, pp. 627–630, Apr. 1990. [32] P. Bienstman and R. Baets, “Advanced boundary conditions for eigenmode expansion models,” Opt. Quantum Electron., vol. 34, pp. 523–540, May 2002. [33] S. A. Shakir and A. F. Turner, “Method of poles for multilayer thin-film waveguides,” Appl. Phys. A, Solids Surf., vol. 29, no. 3, pp. 151–155, 1982. [34] W. L. Weeks, Electromagnetic Theory for Engineering Applications. New York, NY, USA: Wiley, 1964. [35] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Englewood Cliffs, NJ, USA: Prentice-Hall, 1973. [36] W. Huang, R. M. Shubair, A. Nathan, and Y. L. Chow, “The model characteristics of ARROW structures,” J. Lightw. Technol., vol. 10, no. 8, pp. 1015–1022, 1992. [37] E. Marantonio, R. E. Zich, and I. Montrosset, “Alternative expression of the dispersion equation in multilayered structures,” IEE Proc. J.-Optoelectron., vol. 137, no. 6, pp. 357–360, Dec. 1990. [38] A. Ishimaru, Electromagnetic Wave Propagation, Radiation, and Scattering: From Fundamentals to Applications, 2nd ed. Piscataway, NJ, USA: IEEE Press, 2017. [39] R. E. Smith, G. W. Forbes, and S. N. Houde-Walter, “Unfolding the multivalued planar waveguide dispersion relation,” IEEE J. Quantum Electron., vol. 29, no. 4, pp. 1031–1034, Apr. 1993. [40] F. T. Krogh and W. Van Snyder, “Algorithm 699: A new representation of Patterson’s quadrature formulae,” ACM Trans. Math. Softw., vol. 17, no. 4, pp. 457–461, 1991. [41] P. Kravanja and M. Van Barel, Computing the Zeros of Analytic Functions (Lecture Notes in Mathematics), no. 1727. Berlin, Germany: Springer-Verlag, 2000. [42] R. Rodríguez-Berral, F. Mesa, and F. Medina, “Systematic and efficient root finder for computing the modal spectrum of planar layered waveguides,” Int. J. RF Microw. Comput.-Aided Eng., vol. 14, no. 1, pp. 73–83, 2004. [43] P. Y. Chen and Y. Sivan, “Robust location of optical fiber modes via the argument principle method,” Comput. Phys. Commun., vol. 214, pp. 105–116, May 2017. [44] F. L. Peñaranda-Foix, J. M. Catalá-Civera, M. Contelles-Cervera, and A. J. Canós-Marín, “Solving the cutoff wave numbers in partially filled rectangular waveguides by the Cauchy integral method: Research articles,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 5, pp. 502–509, 2006. [45] L. N. Trefethen and J. A. C. Weideman, “The exponentially convergent trapezoidal rule,” SIAM Rev., vol. 56, no. 3, pp. 385–458, 2014. [46] M. Dellnitz, O. Schütze, and Q. Zheng, “Locating all the zeros of an analytic function in one complex variable,” J. Comput. Appl. Math., vol. 138, no. 2, pp. 325–333, 2002. [47] M.-S. Kwon and S.-Y. Shin, “Simple and fast numerical analysis of multilayer waveguide modes,” Opt. Commun., vol. 233, nos. 1–3, pp. 119–126, 2004. [48] T. Johnson and W. Tucker, “Enclosing all zeros of an analytic function— A rigorous approach,” J. Comput. Appl. Math., vol. 228, no. 1, pp. 418–423, 2009. [49] S. Agarwal, M. Povolotskyi, T. Kubis, and G. Klimeck, “Adaptive quadrature for sharply spiked integrands,” J. Comput. Electron., vol. 9, pp. 252–255, Dec. 2010. [50] V. A. Barker et al., LAPACK95 Users’ Guide. Philadelphia, PA, USA: SIAM, 2001. [51] F. W. J. Olver, D. W. Lozier, R. F. Boisvert, and C. W. Clark, NIST Handbook of Mathematical Functions. Cambridge, U.K.: Cambridge Univ. Press, 2010. [52] H. M. de Ruiter, “Limits on the propagation constants of planar optical waveguide modes,” Appl. Opt., vol. 20, no. 5, pp. 731–732, 1981.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
[53] S. Pan and J. Fan, “An efficient method to extract surface-wave poles of Green’s functions near branch cut in lossy layered media,” IEEE Trans. Antennas Propag., vol. 63, no. 1, pp. 439–442, Jan. 2015. [54] P. Kowalczyk, “On root finding algorithms for complex functions with branch cuts,” J. Comput. Appl. Math., vol. 314, pp. 1–9, Apr. 2017. [55] M. J. Neve and R. Paknys, “A technique for approximating the location of surface- and leaky-wave poles for a lossy dielectric slab,” IEEE Trans. Antennas Propag., vol. 54, no. 1, pp. 115–120, Jan. 2006. [56] A. G. Polimeridis, T. V. Yioultsis, and T. D. Tsiboukis, “An efficient pole extraction technique for the computation of Green’s functions in stratified media using a sine transformation,” IEEE Trans. Antennas Propagat., vol. 55, no. 1, pp. 227–229, Jan. 2007. [57] R. E. Smith and S. N. Houde-Walter, “The migration of bound and leaky solutions to the waveguide dispersion relation,” J. Lightw. Technol., vol. 11, no. 11, pp. 1760–1768, Nov. 1993. [58] A. Bakhtazad, H. Abiri, and R. Ghayour, “A general transform for regularizing planar open waveguide dispersion relation,” J. Lightw. Technol., vol. 15, no. 2, pp. 383–390, Feb. 1997. [59] R. Rodríguez-Berral, F. Mesa, and F. Medina, “Appropriate formulation of the characteristic equation for open nonreciprocal layered waveguides with different upper and lower half-spaces,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1613–1623, May 2005. [60] A. Markus, Modern Fortran in Practice. Cambridge, U.K.: Cambridge Univ. Press, 2012. [61] D.-X. Wang, E. K.-N. Yung, R.-S. Chen, and J. Bao, “A new method for locating the poles of Green’s functions in a lossless or lossy multilayered medium,” IEEE Trans. Antennas Propag., vol. 58, no. 7, pp. 2295–2300, Jul. 2010. [62] J. Ding and S. L. Dvorak, “An accurate and systematic surface-wave pole location method for multilayered media,” IEEE Trans. Antennas Propag., vol. 62, no. 2, pp. 997–1001, Feb. 2014. [63] J. F. Offersgaard, “Waveguides formed by multiple layers of dielectric, semiconductor, or metallic media with optical loss and anisotropy,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 12, no. 10, pp. 2122–2128, 1995. [64] K. A. Michalski, “On the low-order partial-fraction fitting of dielectric functions at optical wavelengths,” IEEE Trans. Antennas Propag., vol. 61, no. 12, pp. 6128–6135, Dec. 2013. [65] Y. V. Bludov, A. Ferreira, N. M. R. Peres, and M. I. Vasilevsky, “A primer on surface plasmon-polaritons in graphene,” Int. J. Mod. Phys. B, vol. 27, no. 10, pp. 1341001-1–1341001-74, 2013. [66] V. Mohadesi, V. Siahpoush, and A. Asgari, “Investigation of leaky and bound modes of graphene surface plasmons,” J. Appl. Phys., vol. 122, no. 13, pp. 133113-1–133113-7, 2017. [67] G. Lovat, G. W. Hanson, R. Araneo, and P. Burghignoli, “Semiclassical spatially dispersive intraband conductivity tensor and quantum capacitance of graphene,” Phys. Rev. B, Condens. Matter, vol. 87, pp. 115429-1–115429-11, 2013. [68] D. Correas-Serrano, J. S. Gomez-Diaz, J. Perruisseau-Carrier, and A. Álvarez-Melcón, “Spatially dispersive graphene single and parallel plate waveguides: Analysis and circuit model,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4333–4344, Dec. 2013. [69] J. S. Gomez-Diaz, J. R. Mosig, and J. Perruisseau-Carrier, “Effect of spatial dispersion on surface waves propagating along graphene sheets,” IEEE Trans. Antennas Propag., vol. 61, no. 7, pp. 3589–3596, Jul. 2013. [70] K. A. Michalski and D. R. Wilton, “On the computation of planewave impressed fields and far-zone fields of arbitrary current sources in planar multilayer dielectric and plasmonic media,” J. Electromagn. Waves Appl., vol. 31, nos. 11–12, pp. 1177–1193, 2017. [71] K. A. Michalski and J. R. Mosig, “Analysis of a plane wave-excited subwavelength circular aperture in a planar conducting screen illuminating a multilayer uniaxial sample,” IEEE Trans. Antennas Propag., vol. 63, no. 5, pp. 2054–2063, May 2015. [72] B. Wood, D. P. Tsai, and J. B. Pendry, “Directed subwavelength imaging using a layered metal-dielectric system,” Phys. Rev. B, Condens. Matter, vol. 74, pp. 115116-1–115116-8, Sep. 2006. [73] R. Koty´nski, T. Stefaniuk, and A. Pastuszczak, “Sub-wavelength diffraction-free imaging with low-loss metal-dielectric multilayers,” Appl. Phys. A, Solids Surf., vol. 103, no. 3, pp. 905–909, 2011. [74] K. A. Michalski and J. R. Mosig, “The Sommerfeld halfspace problem redux: Alternative field representations, role of Zenneck and surface plasmon waves,” IEEE Trans. Antennas Propag., vol. 63, no. 12, pp. 5777–5790, Dec. 2015. [75] S. Khorasani and B. Rashidian, “Modified transfer matrix method for conducting interfaces,” J. Opt. A, Pure Appl. Opt., vol. 4, no. 3, pp. 251–256, 2002.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[76] G. W. Hanson, “Quasi-transverse electromagnetic modes supported by a graphene parallel-plate waveguide,” J. Appl. Phys., vol. 104, pp. 084314-1–084314-5, 2008. [77] T. Zhan, X. Shi, Y. Dai, X. Liu, and J. Zi, “Transfer matrix method for optics in graphene layers,” J. Phys., Condens. Matter, vol. 25, no. 21, pp. 215301-1–215301-10, 2013. [78] Y. V. Bludov, N. M. R. Peres, and M. I. Vasilevskiy, “Unusual reflection of electromagnetic radiation from a stack of graphene layers at oblique incidence,” J. Opt., vol. 15, no. 11, pp. 114004-1–114004-13, 2013. [79] I. D. Koufogiannis, M. Mattes, and J. R. Mosig, “ On the development and evaluation of spatial-domain Green’s functions for multilayered structures with conductive sheets,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 1, pp. 20–29, Jan. 2015. [80] A. Edelman and H. Murakami, “Polynomial roots from companion matrix eigenvalues,” Math. Comput., vol. 64, no. 210, pp. 763–776, 1995. [81] L. Knockaert, H. Rogier, and D. De Zutter, “An FFT-based signal identification approach for obtaining the propagation constants of the leaky modes in layered media,” AEU-Int. J. Electron. Commun., vol. 59, pp. 230–238, 2005. [82] C. J. Gillan, A. Schuchinsky, and I. Spence, “Computing zeros of analytic functions in the complex plane without using derivatives,” Comput. Phys. Commun., vol. 175, no. 4, pp. 304–313, 2006. [83] G. Semwal and V. Rastogi, “Derivative free method for computing modes of multilayer planar waveguide,” Opt. Quantum Electron., vol. 46, no. 3, pp. 423–438, 2014. [84] S. Sato, T. Shimada, and K. Hasegawa, “Extraction of all propagation constants in a specified region from the transcendental equation of a dispersion relation using the Sakurai–Sugiura projection method,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 32, no. 7, pp. 1216–1221, 2015. [85] A. Griewank and A. Walther, Evaluating Derivatives: Principles and Techniques of Algorithmic Differentiation, 2nd ed. Philadelphia, PA, USA: SIAM, 2008.
Krzysztof A. Michalski (S’78–M’81–SM’88–F’01– LF’17) received the M.Sc. degree in electrical engineering from the Wrocław University of Science and Technology, Wrocław, Poland, in 1974, and the Ph.D. degree in electrical engineering from the University of Kentucky, Lexington, Kentucky, in 1981. From 1982 to 1986, he was with The University of Mississippi, Oxford, MS, USA. Since 1987, he has been with Texas A&M University, College Station, TX, USA. He also held visiting professorships with the École Polytechnique Fédérale de Lausanne (multiple times), Lausanne, Switzerland, Texas A&M University at Qatar, Doha, Qatar, Université de Nice Sophia Antipolis, Nice, France, Universitat Politècnica de Catalunya, Barcelona, Spain, and Technical University of Munich, Munich, Germany, and was a Visiting Scientist with Sandia National Laboratories, Albuquerque, NM, USA, and the National Institute of Standards and Technology, Gaithersburg, MD, USA. His current research interests include electromagnetic theory and computational electromagnetics with an emphasis on Green function methods and layered media problems. Dr. Michalski was the recipient of the Best EMP Paper Award of the SUMMA Foundation in 1984, the Oliver Lodge Premium from the IEE, U.K., in 1986, and the Sergei A. Schelkunoff Transactions Prize Paper Award from the IEEE Antennas and Propagation Society in 2015. He was a Technical Program Co-Chair of the 2002 IEEE Antennas and Propagation Society International Symposium. He has served as an Associate Editor for the IEEE T RANSACTIONS FOR A NTENNAS AND P ROPAGATION.
Mazin M. Mustafa (S’16) received the B.Sc. degree in electrical engineering from the University of Khartoum, Khartoum, Sudan, in 2010, and the M.Sc. degree in electrical engineering from Bradley University, Peoria, IL, USA, in 2015. He is currently pursuing the Ph.D. degree at the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX, USA. His current research interests include electromagnetics of layered media, Green functions, optics, and RF circuits.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Low-Cost Ku-Band Waveguide Devices Using 3-D Printing and Liquid Metal Filling King Yuk Chan , Member, IEEE, Rodica Ramer , Senior Member, IEEE, and Roberto Sorrentino, Life Fellow, IEEE
Abstract— This paper presents a simple, low-cost, hours-long fabrication method for microwave waveguide components of high RF performance. The technique combines 3-D-printed configurations with liquid metal waveguide structures. As a demonstration, a fused deposition modeling multimaterial 3-D consumer-grade printer and liquid gallium were used. A conductive polylactic acid (PLA) waveguide flange was 3-D printed along, in-one-go, with standard PLA for the rectangular waveguide liquid metal enclosures. Microwave WR62 waveguides, resonators, and filters operating in Ku-band were designed, fabricated, and tested. The RF performance of the fabricated waveguide devices is in agreement with the simulations demonstrating better than 1.29 dB/m attenuation in the waveguide and better than 1000 Q-factor for the resonator and the filter at 13 GHz. The fabricated devices demonstrate a new option of an economical fabrication technology for high RF performance microwave waveguide-based devices that can be delivered in hours-time, anywhere, anytime with minimal equipment deployment and investment. Index Terms— Consumer-grade 3-D printers, liquid metal, microwave waveguide components.
I. I NTRODUCTION
A
DDITIVE manufacturing is now being widely used in many industries, including aerospace and biomedical engineering. In particular, 3-D printing attracted significant attention for applications in microwave and millimeter-wave technologies. 3-D printing techniques allow rapid prototyping, high precision, and finishing even for extremely complex 3-D structures of very diverse materials, such as polymers, metals, and ceramics. Currently, several different 3-D printing technologies are available on the market, where the fused deposition modeling (FDM) (also known as fused filament fabrication), stereolithography (SLA), selective laser sintering/melting (SLS/SLM), blind jetting (BJ), and copper additive manufacturing (CAM) are well developed [1]–[6]. PolyJet, being a recently developed 3-D printing technology, is only available at a very high cost and not accessible yet to the general public. Regardless of the method they use, the fundamental principle is the same for all: the 3-D objects are
Manuscript received November 21, 2017; revised February 22, 2018; accepted March 28, 2018. (Corresponding author: King Yuk Chan.) K. Y. Chan and R. Ramer are with the School of Electrical Engineering and Telecommunication, University of New South Wales, Sydney, NSW 2052, Australia (e-mail:
[email protected];
[email protected]). R. Sorrentino is with the Department of Electronic and Information Engineering, University of Perugia, 06123 Perugia, Italy (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2851573
incrementally printed or extracted layer-by-layer with specific deposition methods for their corresponding materials in liquid, powder, and solid forms. For traditional microwave and millimeter-wave applications, SLS/SLM and BJ metal 3-D printing could be viewed as the most suitable as metal waveguide components can be manufactured directly with a high-dimensional accuracy. However, the SLS/SLM and BJ metal 3-D printing suffer from high capital investment and require costly unique materials in the form of metal alloy powders. Besides the high cost associated with the machines and materials, direct metal printing also introduces some surface roughness, which degrades the RF performance of the printed components [2], [7]–[11]. With the consideration of cost and performance, the research focus has shifted toward polymer-based 3-D printing technologies, in particular, the SLA. The key advantages of the SLA 3-D printing are relatively low cost while providing a high printing resolution and with a relatively smooth surface roughness finishing. Many RF components, such as waveguide filters and antennas, have been reported using this 3-D printing technique. However, as the SLA 3-D printing is unable to print metal directly, the SLA-printed components require further metallization. The quality of the metallization affects the RF performance significantly regarding reliability, losses, and power handling. Ideally, the metallization should provide a smooth surface and should be thick enough to cover the skin depth at the frequency of operation. Also, the metal layer has to adhere well to the 3-D-printed polymer to avoid peeling. Many different metallization techniques associated with the SLA printing were reported [12], [13]. Some require complicated procedure involving industrial electroplating with dangerous chemicals [12], [14]–[16]. Other employed multilayer depositions such as painting the printed parts with silver paint before electroplating [17]. In most cases, a complex device has to be printed as several separate pieces, electroplated, and then assembled. More recently, the electroless plating of nickel and copper, and then followed by silver or gold plating was reported with the potential to fabricate components without separation into parts [12]. However, regardless of the different plating processes, the SLA 3-D printing technology has severe limitations from the printing material options as is a resinbased technology and is very difficult to include multimaterial in a single print. Unlike the SLA and SLS/SLM technologies, the FDM is the least expensive technology and, therefore, the most popular in both consumer and commercial sectors. Compared with other
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Fig. 1. 3-D-printed rectangular waveguide microwave components: a conductive flange, a waveguide section, a waveguide resonator, and a waveguide filter.
3-D printing technologies, other than jetting technologies, such as PolyJet, microdispensing inkjet, and aerosol-jet printing, the most crucial advantage of the FDM technology is that it allows the combination of different materials in a monolithically printed structure. Also, numerous various materials are available and accessible, even for consumers at a low cost. These materials include both conductive and magnetic polymer composite filaments that could found utilization for the microwave and millimeter-wave components. Moreover, even for consumer-grade FDM 3-D printers, extremely highresolution feature sizes, of 20 μm in the z-axis and 100 μm in x–y axes, are achievable. The reported RF devices using the FDM 3-D printing are predominately in the antenna domain. These include different types of dipole antennas, such as bow-tie and meander-line, dome antennas, and origami antennas [18]–[21]. In this paper, for the first time, an extremely low-cost, rapid, reliable, and straightforward method of 3-D printing of the microwave components utilizing well-developed, consumergrade, multimaterial FDM 3-D printing technology together with liquid metal gallium is proposed. Our approach exploits the multimaterial advantage of the FDM technology to create a fully watertight enclosure for the liquid metal using a dielectric polylactic acid (PLA) (transparent PLA) together with a 3-D-printed conductive PLA for the metallization of the input–output connections (flanges). Thus, our “metallization” procedure is straightforward. It only requires pouring and filling the liquid metal into monolithically printed microwave components’ metal filling channel, and this can be completed in minutes. Some basic microwave devices, operating at Ku-band shown in Fig. 1, were fabricated and tested to demonstrate this technique. These devices exhibit attenuation of better than 1.29 dB/m and Q-factor exceeding 1000. II. FDM M ANUFACTURING W ITH D IFFERENT M ATERIALS AND WAVEGUIDE D EVICES “M ETALLIZATION ” The fabrication of rectangular waveguide devices using the FDM 3-D printing and the “metallization” process is discussed in this section. It should be noted that the 3-D-printed waveguide components discussed in this paper consist of three parts: a conductive polymer for flanges, an ordinary
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
nonconductive polymer as a dielectric container, and the liquid metal as the metallic part. Accuracy is an important required feature of the 3-D printers. It depends on mechanical limitations and the associated printing software. With mechanical limitation, the dimension precisions are governed mainly by the achievable layer thickness in the z-axis and the printer nozzle diameter, which determines the minimum x–y axes feature dimensions. Most consumer-grade 3-D printers offer resolution accuracy of fewer than 100 μm for the x–y nozzle movement and less than 10 μm in the z-axis movement direction. In terms of software limitation, the FDM technology uses slicing software, or a slicer, to convert solid 3-D models into printing code, g-code, that consist of lines and layers, to reconstruct the solid models [22]. However, depending on the slicer, the shapes and dimensions could be altered when the targeted dimension is approaching the nozzle size. For example, most slicer software requires at least two lines in the x–y axes to form a wall. With a nozzle size of 400 μm, a minimum wall thickness of 800 μm is necessary to guarantee the dimension accuracy. In fact, with some slicing software, features in the x–y axes with size less than the nozzle diameter will not be printed, while others will just print the corresponding minimum wall thickness. Therefore, it is essential to understand the limitations of the printer and the software to achieve reliable results. It should be noted that it is also possible to achieve thinner walls by fine-tuning the printer and the software or by replacing the nozzle of the printer. To date, the smallest consumer grade nozzle is as small as 100 μm; and hence, theatrically, walls as thin as 200 μm are possible with the FDM technology. In this paper, a low-cost, consumer-grade, desktop-type FDM 3-D printer “Ultimaker 3” with dual extruders for dual-material was employed to demonstrate our fabrication approach. A layer thickness of 100 μm and a nozzle diameter of 400 μm were used. If the waveguide components were to be operated at lower frequencies with larger dimensions, a lower cost 3-D printer, such as the “Original Prusa I3 Mk,” could be utilized. This paper began with an investigation of the waveguide devices and components fabrication with typical 3-D-printed dielectric filaments, PLA, to create enclosures for the liquid metal. It should be noted that other FDM printable polymers, such as acrylonitrile butadiene styrene, acrylonitrile styrene acrylate, and polycarbonate, can be used with some of them offering higher temperature of operation. As a proof of concept development, the PLA was chosen. This is because the PLA has the best compatibility with different FDM 3-D printers. Therefore, it is easy to reproduce the fabrication on different FDM printers. Moreover, the PLA is one of the environmental friendly FDM filaments that do not release potentially harmful fumes during printing. In order to create a watertight liquid metal enclosure while allowing electrical and RF/microwave connections to external connections in a single-printed structure, 3-D-printed conductive materials were investigated. Commercially available 3-D printer conductive filaments from Blackmagic3D and Proto-Pasta with volumetric resistivity ranging from 0.6 to
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAN et al.: LOW-COST Ku-BAND WAVEGUIDE DEVICES USING 3-D PRINTING AND LIQUID METAL FILLING
Fig. 2. Attenuation versus conductivity, in a rectangular waveguide, with a conductor loss at 13 GHz.
over 100 -cm were considered. These conductive filaments are mainly made out of mixtures of the PLA and graphene nanocomposites [23]. The conductive filament used in our experiment is listed to have a resistivity as low as 0.6 -cm. However, in the contact tests that we performed on a printed WR62 flange with a 2-mm thickness (illustrated in black in Fig. 1), the measured resistances across the same surface and over to the other side showed to be in the hundreds ohm range with an ohmmeter which is at least double the reported resistivity value. This high resistivity could be deemed unusable for many electronic applications. However, in RF/microwave applications, the attenuation is determined primarily by electromagnetic propagation. In particular, in the case of rectangular waveguides, with TE10 propagation, the attenuation due to the conductor loss (with metallic conductors boundary) is given by [24] as αc =
Rs (2bπ 2 + a 3 k 2 ) a 3 bβkη
where Rs is the metal wall surface resistance √ Rs = ωμ0 2σ
(1)
(2)
a and b are the rectangular waveguide dimensions, k is the wavenumber, β is the propagation constant, η is the wave impedance, and σ is the conductivity of the rectangular waveguide conductor. Fig. 2 shows the calculated attenuation due to the waveguide wall conductivity using (1) with the WR62 dimensions. It could be observed that an attenuation of 1.5 dB/mm could be obtained even if the waveguide material has conductivity as low as 1 S/m in the Ku-band. Although 1.5 dB/mm is still at least three orders higher than copper waveguide attenuation, only a very short length is required. This is because these waveguide sections have the sole purpose of holding the liquid metal at both ends together with another nonconductive dielectric tube while providing conductive links to external connections. One should note that the calculation was based on the assumption that the EM waves do not propagate through metal when the conductivity is low. However, with very low
3
Fig. 3. S-parameters of the 2-mm-thick conducting flange, with conductivity set to 8 S/m (simulation and measurements).
conductivity, the EM waves do propagate within the lossy material, and therefore, in order to accurately determine the conductivity of the 3-D-printed filaments, EM simulations were employed. To demonstrate our proposed technology, the 2-mm-long WR62 flange was simulated, fabricated, and measured, and the results are shown in Fig. 3. With conductivity set to 8 S/m, the simulation is matched well the measurement with an insertion loss of 0.58 dB at 13 GHz. It should be noted that although the insertion loss of this 2-mm-thick conductive PLA flange is relatively high, in reality, a much thinner flange could be employed. This is due to the fact that the conductive PLA flange is only used together with a standard polymer to form a monolithic block of two dielectrics in-one-go 3-D-printed enclosure for the liquid metal. With such enclosure, the liquid metal can be poured directly to fill the channels from the external openings. As a proof of concept, gallium is selected as the liquid metal filling in our devices. Gallium is nonmagnetic with a minimal magnetic susceptibility and relative permeability μr very close to 1. It has a density of 5.91 g/cm3 and also has a low melting point of 29.76 °C which makes it very easy to be poured and to fill containers. In addition, gallium does not vaporize at room temperature and has a boiling point close to 2400 °C; it has a relatively high electric conductivity of 7.1 × 106 S/m and a thermal expansion coefficient of 120 × 106 K−1 . Therefore, even when the temperature of the filter increases from 30 °C to 100 °C, the volumetric change is only 0.84%. Most importantly, it is nontoxic and could be purchased and handled at a low cost. It should be noted that some gallium alloys have already being used for RF/microwave antennas and planar circuits [25]–[27]. Besides, as gallium is in liquid form, as long as there are air gap within the channels or at the filling holes, the metal is able to freely expand and contract without affecting its container that is made out of the 3-D-printed polymers. It should stressed that it is possible to leave air gap at the filling entrances or to use flexible materials as sealing materials to allow expansion of the liquid metal to avoid the building of pressure within the polymer container. The gallium used in this paper is a 99.99% gallium purchased in Australia from DIY kits Ltd. at the cost of $290/kg. In all the fabricated devices introduced
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 4. Proposed 3-D waveguide structures. (a) 3-D model showing the transparent PLA in a light color (beige) and the conductive PLA in a dark color (black). (b) Details of the transparent PLA behind the thin conductive PLA showing the liquid metal channel. (c) Front view of the waveguide (without flange) showing the dielectric PLA structure with the metal filling channel in grey. (d) Fabricated 3-D-printed waveguide next to a standard brass one. (e) Measurement setup. (f) Deembedding setup using two 5000-μm-thick conductive flanges on each coax-to-waveguide transition.
in Section III, we were able to fill the channels with liquid gallium within a couple of minutes, before performing the measurements and having the devices ready for operation. Air trapping was not an issue during filling, as trapped air can be easily released by gentle tapping and shaking. In addition, no visible changes were noticed in the RF performance, even when gallium changed state from liquid to solid and vice versa during the measurements. III. M ONOLITHICALLY 3-D-P RINTED AND “M ETALLIZED ” C OMPONENTS AND D EVICES Several waveguide-based structures were designed, simulated, fabricated, and measured. For all the designs shown in this paper, the transparent PLA is used as the primary 3-D-printed dielectric material; the PLA was characterized with εr of 2.6 and tan δ of 0.01 using the waveguide method described in [28] at Ku-band. Fig. 4(a)–(f) shows a waveguide designed and fabricated using the dual-material 3-D printer and the liquid metal filling. The required printing time for this waveguide structure was less than 2 h. Fig. 4(a)–(c), (d), (e), and (f) shows the 3-D model and its cross-sectional front view with dimensions, 3-D-printed waveguide next to a standard brass counterpart, test setup, and deembedding setup with 500-μm-thick conductive flanges attached to both coax-to-waveguide transitions, respectively. In the 3-D model drawing [Fig. 4(b) and (c)], the conductive flanges were detached to view the metal filling channel and the dielectric walls structures that are used to contain the liquid metal. Conductive flanges were designed to be 500-μm-thick (this is equivalent to five 3-D-printed layers in a 3-D printer 100-μm layer-thickness setting). To further reduce the attenuation due to the conductive flanges, a thinner conductive flange could be employed in the design. However, to ensure a watertight enclosure, five printed layers with an equivalent thickness of 500 μm were selected.
Fig. 5. Simulated attenuation (dB/mm) versus wall thickness for the proposed waveguide at 13 GHz.
As can be noticed from the 3-D model [Fig. 4(b)], two input (and two at the output) short supports, placed at the middle of the narrow waveguide walls, were used for holding the inner and the outer dielectric walls of the metal channel. These supports with small dimensions, for minimal impact on the RF performance, can also be placed on the wide waveguide walls. In addition, two openings can be viewed on the side of the model [Fig. 4(a) and (b)] for the liquid metal filling. It should be noted that a and b dimensions of the rectangular waveguide are defined by the inner 3-D-printed dielectric walls rather than from the metal filling channel. In all our designs, a and b dimensions match the WR62 standard, which are 15.8 and 7.9 mm, respectively. Technically, the width of the metal filling channel (gt) only requires being less than 10 μm in order to sufficiently cover the skin depth at 13 GHz. With the aim of easy metal filling while avoiding air trapping without needing specialized equipment such as pumps, the channel is designed to have gt = 1 mm. Although gallium is used as the metal for the waveguide, due to the existence of the dielectric walls, the waveguide could be considered as a partially dielectric-loaded waveguide. Therefore, the dielectrics of the 3-D-printed walls contribute to the propagation constant and the insertion loss. Fig. 5 shows the attenuation (dB/mm) versus wall thickness (mm) for different loss tangent values in the Ku-band for the proposed waveguide. Depending on the dielectric loss tangent value and wall thickness t, the attenuation contribution from the printed wall can vary significantly. In general, thinner dielectric walls reduce the attenuation of the printed material. Fig. 6 shows the RF performance of the 1-cm-long 3-D-printed waveguide. Simulations of the entire structure, including the conductive flanges, were compared with the measurements with good agreement achieved. In order to extract the RF performance of the waveguide itself (without flanges), a two-tier calibration was performed. First, a WR62 setup consisting of coax-to-waveguide transitions is calibrated through the thru-reflect-lin (TRL) technique [29]. Then, two 3-D-printed 0.5-mm conductive flanges were mounted at each waveguide transition port. Then, the scattering coefficients of the 3-D-printed flanges were derived by evaluating the error boxes of the second TRL. These parameters then were
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAN et al.: LOW-COST Ku-BAND WAVEGUIDE DEVICES USING 3-D PRINTING AND LIQUID METAL FILLING
Fig. 6. Simulated, measured, and deembedded S-parameters of the 3-D-printed 1-cm-long waveguide.
5
Fig. 8. 3-D-printed rectangular waveguide resonator. (a) 3-D model. (b) 3-D model-sectional view to show the channels for the liquid metal. (c) Sectional side view showing all the dimensions with the channels highlighted in grey. (d) Measurement setup.
Fig. 7. Simulated Q-factor estimation of a 3-D-printed rectangular waveguide resonator based on the proposed technique with a different wall thickness and the loss tangent of the dielectric material.
Fig. 9. Deembedded S21 of the simulated and measured 3-D-printed rectangular waveguide resonator.
deembedded from the scattering coefficients shown in Fig. 6 (waveguide line), Fig. 9 (the resonator), and Fig. 11 (the three-pole filter). The results demonstrated that the gallium waveguide exhibits an excellent RF performance with around 1-dB/m attenuation. A WR62 waveguide-based resonator was studied to determine the achievable Q-factor using our proposed 3-D printing technique. In the simulations, the rectangular cavity with various dielectric wall thicknesses and loss tangent were investigated. Fig. 7 shows the simulation results. It can be noticed that the maximum achievable Q-factor using liquid gallium as metal is over 2300 in Ku-band. Depending on the loss tangent of the 3-D-printed materials and the thickness of the dielectric wall, the Q-factor varies linearly. It can be concluded that the dielectric wall loss tangent is the determining factor that limits the Q-factor. It should be noted that no surface roughness parameters were included in these simulations and the reasoning behind will be discussed later in this section. Fig. 8 shows the 3-D model, a cross section, and the measurement setup of the resonator; 3 h were required for the fabrication of this resonator. In this design, the resonator was monolithically 3-D printed using the conductive PLA for the flanges and the transparent PLA for the waveguide walls. To allow the 3-D printing of the resonator cavity without using
any supports, the irises are designed with a slope of 45°. The resulting resonant cavity is slighter longer than a typical iris coupled resonator operating at the same resonant frequency. A small width of 2 mm is deliberately selected for the irises width W , with the intention of directly showing the Q-factor from the S-parameters. With the aim of achieving higher Q-factor, t = 0.5 mm was used. In order to obtain resonance at 13 GHz, L = 19.731 mm were selected. Fig. 9 shows the deembedded simulated and measured |S21 | of the fabricated waveguide resonator. The measurement closely resembled the simulation with only a slight frequency shift of around 55 MHz. It is normal to notice frequency shift in a resonator fabricated using a consumer-grade 3-D printer. This is because the resonator is aligned to the z-axis of the 3-D printer that has a layer resolution of 0.1 mm. As a result, features below 0.1 mm could have dimensions rounded, causing a shift in a resonant frequency. From the measurement, the Q-factor Q u is calculated to be 1088 which demonstrated excellent agreement with the estimated value, given in Fig. 7, and the simulation in Fig. 9. This Q-factor is calculated using the equations Q u = Q L / (1 − |S21 |) and Q L = f L /( f 2 − f 1 ), where Q L is the loaded Q-factor, f L is the resonant frequency, and f 1 and f2 are the −3-dB frequencies from f L . It should be noted that by
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I F ILTER D IMENSIONS
Fig. 10. 3-D-printed rectangular waveguide filter. (a) 3-D model. (b) 3-D model-sectional view to show the channels for the liquid metal. (c) Sectional side view showing all the dimensions with the channels highlighted in grey. (d) Measurement setup.
using a small |S21 | value, Q L ≈ Q u , and therefore, it is much easier to read the quality factor from the transmission S21 plot directly. As a reference and to further highlight the impact of the dielectric material to the Q-factor, if copper is used instead of gallium, the quality factor of the resonator will be 1485 instead of 1088. Furthermore, if we considered the loss induced by copper-only, the Q-factor will be over 6800 for the same resonator (by removing the loss tangent in the dielectric material). As a note, due to the fact that the flanges only introduce additional losses to the insertion loss (|S21 |), it will not change the general shape of the response. Therefore, it is only shifting the |S21 | response down without changing the frequencies f L , f 1 , and f 2 . In all our simulations, no surface roughness parameters and constraints were adopted. This is because the simulation results are matched very well with the experimental results. We believe that the effect of high surface roughness associated with the FDM-printed dielectric was mitigated by the high surface tension of the liquid gallium. References [30]–[32] discussed how high surface tension liquids always aim to be as smooth as possible on rough surfaces at microscopic scales, and suggested that at macroscopic scales, liquids fill any vessel and adapt their outer shape to any surface. At microscopic scales, they will not follow the exact sharp of the rough surface and will leave gaps in order to retain the low energy state and, therefore, remain smooth. Hence, unlike other metal deposition techniques to create the metal waveguide structures that follow the exact shape of the dielectric structures, using the liquid metal for metallization has the potential to reduce the negative impact due to the surface roughness. To verify the RF performance of the proposed technique once again, a Ku-band Chebyshev three-pole filter with a bandwidth of 500 MHz centered at 13 GHz was designed, simulated, and fabricated. This filter requires less than 5 h for fabrication. The 3-D model, the side view, and the measurement setup of the filter are shown in Fig. 10. Similar to the resonator, the irises are designed with a slope of 45°. Again, t = 0.5 mm was used for the inner wall with gt = 1 mm for metal filling surrounding channel to form the filter. The conductive PLA was used as the flanges to contain the liquid metal within the channel. In order to illustrate
Fig. 11. Simulated and measured 3-D-printed rectangular waveguide threepole filter. Inset: insertion loss.
TABLE II C OST A NALYSIS OF THE D EVICES
the RF performance of the gallium waveguide filter and to accurately determine the Q-factor, the conductive flanges were deembedded in the simulation and measurement. Table I lists the dimension details of the filter with Wi being the iris widths and L i being the resonator lengths. Fig. 11 shows the filter simulated response and measurements with and without the flanges. The Q-factor has been estimated to be over 1000 with the flanges deembedded, which is similar to the resonator measurement. As can be seen, an excellent agreement is achieved without any tuning. There are only minimal discrepancies related to the bandwidth and the center frequency. However, these differences are mainly due to the precision limitations of the consumer-grade 3-D printer. Table II provides the materials cost of all the devices presented in this paper, and their costs are less than $5 each. No labor or depreciation costs were included in the calculation. However, with this fabrication technique, no highly specialized skills are needed as in the case of CNC machine and electroplating cases and thus should require cheaper workforce. In addition, these 3-D printers have low capital investment. No special logistic is needed to host the 3-D printers. They can be used both indoor and sheltered outdoors
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAN et al.: LOW-COST Ku-BAND WAVEGUIDE DEVICES USING 3-D PRINTING AND LIQUID METAL FILLING
7
TABLE III C OMPARISON OF D IFFERENT M ICROWAVE AND M ILLIMETER -WAVE WAVEGUIDE D EVICES 3-D P RINTING T ECHNOLOGIES
and, therefore, generally requires a lower maintenance cost compared with other 3-D printing techniques. The performance achieved using the proposed technology is also compared with different AM technologies in Table III. It can be seen that different technologies offer different benefits. In general, machining, the BJ, and the CAM offer a better RF performance while using more complex processing with a high cost. The FDM and the SLA with electric plating allow relatively less processing compared with the BJ and the CAM. However, they often require splitting geometries to achieve more complex structures to ensure even metallization. The technique presented in this paper offers a low-cost alternative while retaining satisfactory RF performance without splitting geometries. If the 3-D printing of the microwavegrade dielectric materials improved significantly, this technique would be one of few 3-D printing technologies that could bring an advantage to fabricate dielectric resonator filters in one-single-go without splitting the geometry which is currently unachievable by any traditional manufacturing techniques and is not possible with any 3-D printing techniques using electroplated metallization at this moment. It should be noted that the technique presented in this paper requires both the nonconductive PLA with gallium to create waveguides and the conductive PLA for flanges. Therefore, to correctly estimate the waveguide attenuation at Ku-band, one should use the total length of the required waveguide section and calculate the attenuation using the 1-dB/m value and then add the additional conductive PLA flanges attenuation. For example, as presented in this paper, the conductive PLA flange with a length of 0.5 mm has 0.145-dB attenuation at 13 GHz. Therefore, with the conductive flanges on a two-port device, an additional 0.29 dB should be included in the calculation. The results presented in this paper only demonstrated the beginning of a new fabrication technology era for microwave devices. It is expected that with the improvement of the
3-D printing technology and superior printing materials (e.g., Teflon for low-loss tangent, new conductive materials for improved flange insertion loss, and so on), the RF performance of future microwave devices using this technique will be significantly improved. Moreover, advanced 3-D printing will allow the fabrication of RF systems in-one-go, joining multiple components without connections and eliminating flanges between components. This paper utilized the gallium as the liquid metal, and therefore, the maximum achievable Q-factor was limited to below 3000 at Ku-band. With the research progress in higher conductivity liquid metal alloys and composites, the maximum realizable Q-factor could be further enhanced. IV. C ONCLUSION This paper aimed to confirm the possibility of combining the FDM 3-D-printed dielectrics with the liquid metal to achieve the rapid prototyping of microwave devices at low cost. For the first time, a 3-D-printed dielectric-based conductive flange was manufactured along with a waveguide structure. The 0.5-mm-long flanges at both ends introduced together 0.29-dB attenuation at 13 GHz and had to be taken into consideration for the design of the microwave devices. The fabricated microwave waveguides, resonators, and filters offered a good RF performance in excellent agreement with the simulations. The waveguide has 1.29-dB/m attenuation, while the resonator and the filter achieved better than 1000 Q-factor at 13 GHz. All three devices were individually fabricated in less than 5 h for less than $5. The proposed technology was demonstrated at an unprecedented low cost and short fabrication time for the rectangular waveguide-based microwave devices. With no doubt, this concept can be further extended to the circular waveguide and coaxial-based devices. The fabricated devices revealed a new technology that can be delivered in hours-time, anywhere, anytime with minimal equipment deployment and investment for the first time.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
R EFERENCES [1] F. Calignano et al., “Overview on additive manufacturing technologies,” Proc. IEEE, vol. 105, no. 4, pp. 593–612, Apr. 2017. [2] E. Decrossas, T. Reck, C. Lee, C. Jung-Kubiak, I. Mehdi, and G. Chattopadhyay, “Evaluation of 3D printing technology for corrugated horn antenna manufacturing,” in Proc. IEEE Int. Symp. Electromagn. Compat. (EMC), Ottawa, ON, Canada, Jul. 2016, pp. 251–255. [3] H. Kazemi et al., “Ultra-compact G-band 16way power splitter/combiner module fabricated through a new method of 3D-copper additive manufacturing,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–3. [4] E. A. Rojas-Nastrucci, J. T. Nussbaum, N. B. Crane, and T. M. Weller, “Ka-band characterization of binder jetting for 3-D printing of metallic rectangular waveguide circuits and antennas,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 9, pp. 3099–3108, Sep. 2017. [5] S. Khan, N. Vahabisani, and M. Daneshmand, “A fully 3-D printed waveguide and its application as microfluidically controlled waveguide switch,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 1, pp. 70–80, Jan. 2017. [6] W. J. Otter and S. Lucyszyn, “Hybrid 3-D-printing technology for tunable THz applications,” Proc. IEEE, vol. 105, no. 4, pp. 756–767, Apr. 2017. [7] M. D’Auria et al., “3-D printed metal-pipe rectangular waveguides,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 9, pp. 1339–1349, Sep. 2015. [8] O. A. Peverini et al., “Selective laser melting manufacturing of microwave waveguide devices,” Proc. IEEE, vol. 105, no. 4, pp. 620–631, Apr. 2017. [9] B. Zhang and H. Zirath, “Metallic 3-D printed rectangular waveguides for millimeter-wave applications,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 6, no. 5, pp. 796–804, May 2016. [10] B. Zhang and H. Zirath, “3D printed iris bandpass filters for millimetrewave applications,” Electron. Lett., vol. 51, no. 22, pp. 1791–1793, Oct. 2015. [11] B. Zhang and H. Zirath, “A metallic 3-D printed E-band radio front end,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 5, pp. 331–333, May 2016. [12] C. Guo, X. Shang, M. J. Lancaster, and J. Xu, “A 3-D printed lightweight X-band waveguide filter based on spherical resonators,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 7, pp. 442–444, Jul. 2015. [13] B. Rohrdantz, C. Rave, and A. F. Jacob, “3D-printed low-cost, low-loss microwave components up to 40 Ghz,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, USA, May 2016, pp. 1–3. [14] C. Guo, X. Shang, J. Li, F. Zhang, M. J. Lancaster, and J. Xu, “A lightweight 3-D printed X-band bandpass filter based on spherical dual-mode resonators,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 8, pp. 568–570, Aug. 2016. [15] E. G. Geterud, P. Bergmark, and J. Yang, “Lightweight waveguide and antenna components using plating on plastics,” in Proc. 7th Eur. Conf. Antennas Propag. (EuCAP), Gothenburg, Sweden, Apr. 2013, pp. 1812–1815. [16] R. Zhu and D. Marks, “Rapid prototyping lightweight millimeter wave antenna and waveguide with copper plating,” in Proc. 40th Int. Conf. Infr., Millim., Terahertz Waves (IRMMW-THz), Aug. 2015, pp. 1–2. [17] M. Dionigi, C. Tomassoni, G. Venanzoni, and R. Sorrentino, “Simple high-performance metal-plating procedure for stereolithographically 3-D-printed waveguide components,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 11, pp. 953–955, Nov. 2017. [18] M. Ahmadloo and P. Mousavi, “A novel integrated dielectric-andconductive ink 3D printing technique for fabrication of microwave devices,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2013, pp. 1–3. [19] S. Mufti, A. Tennant, and L. Seed, “3D electrically small dome antenna,” in Proc. Loughborough Antennas Propag. Conf. (LAPC), Loughborough, U.K., Nov. 2014, pp. 653–656. [20] J. Kimionis, A. Georgiadis, M. Isakov, H. J. Qi, and M. M. Tentzeris, “3D/inkjet-printed origami antennas for multi-direction RF harvesting,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–4. [21] N. Arnal et al., “3D multi-layer additive manufacturing of a 2.45 GHz RF front end,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–4. [22] K. Dvorak, “3D model preparing for rapid prototyping by FDM method,” in Proc. 8th Int. Conf. Mech. Aerosp. Eng. (ICMAE), Prague, Czech Republic, Jul. 2017, pp. 25–29.
[23] M. H. Al-Saleh and U. Sundararaj, “A review of vapor grown carbon nanofiber/polymer conductive composites,” Carbon, vol. 47, pp. 2–22, Jan. 2009. [24] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2012. [25] W. Su, R. Bahr, S. A. Nauroze, and M. M. Tentzeris, “3D printed reconfigurable helical antenna based on microfluidics and liquid metal alloy,” in Proc. IEEE Int. Symp. Antennas Propag. (APSURSI), Fajardo, PR, USA, Jun./Jul. 2016, pp. 469–470. [26] A. Dey and G. Mumcu, “Microfluidically controlled frequency-tunable monopole antenna for high-power applications,” IEEE Antennas Wireless Propag. Lett., vol. 15, pp. 226–229, 2016. [27] M. R. Khan, G. J. Hayes, S. Zhang, M. D. Dickey, and G. Lazzi, “A pressure responsive fluidic microstrip open stub resonator using a liquid metal alloy,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 11, pp. 577–579, Nov. 2012. [28] P. I. Deffenbaugh, R. C. Rumpf, and K. H. Church, “Broadband microwave frequency characterization of 3-D printed materials,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 12, pp. 2147–2155, Dec. 2013. [29] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [30] S. Semal et al., “Influence of surface roughness on wetting dynamics,” Langmuir, vol. 15, no. 25, pp. 8765–8770, 1999. [31] C. Yang, U. Tartaglino, and B. N. J. Persson, “Nanodroplets on rough hydrophilic and hydrophobic surfaces,” Eur. Phys. J. E, vol. 25, no. 2, pp. 139–152, 2008. [32] Y. Yuan and T. R. Lee, “Contact angle and wetting properties,” in Surface Science Techniques, G. Bracco and B. Holst, Eds. Berlin, Germany: Springer, 2013, pp. 3–34.
King Yuk (Eric) Chan (S’01–M’12) received the B.Sc. (Hons.), M.Eng.Sc., and Ph.D. degrees from the School of Electrical Engineering and Telecommunications, University of New South Wales (UNSW), Sydney, NSW, Australia, in 2005, 2007, and 2012, respectively. From 2008 to 2009, he was a Research Assistant with the Centre for Integrated RF Engineering, University of Waterloo, Waterloo, ON, Canada. From 2011 to 2013, he was a Post-Doctoral Fellow with the ICT Centre, CSIRO, Marsfield, NSW, Australia, where he was involved in industrial research projects. Since 2014, he has been a Research Associate with the School of Electrical Engineering and Telecommunications, UNSW, where he is currently involved in the design, characterization, and fabrication of devices with frequency ranging from RF to terahertz. He has authored or co-authored over 49 scientific publications in the areas of RF MEMS switches, reconfigurable filters, and antennas.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAN et al.: LOW-COST Ku-BAND WAVEGUIDE DEVICES USING 3-D PRINTING AND LIQUID METAL FILLING
Rodica Ramer (SM’06) received the Ph.D. degree in solid-state physics from the University of Bucharest, Bucharest, Romania, in 1989. She was a Senior Research Scientist with the Microwave Laboratory, National Centre for Nuclear Energy of Romania. From 1989 to 1992, she was a Visiting Professor and a Research Associate with the Superconductivity Laboratory, University of Alabama, Tuscaloosa, AL, USA, the Microwave Laboratory, Colorado State University, Fort Collins, CO, USA, and the School of Electrical Engineering, The University of Oklahoma, Norman, OK, USA. Since 1993, she has been with the School of Electrical Engineering and Telecommunications, University of New South Wales, Sydney, NSW, Australia, where she is currently a Professor and the Head of the microelectronics research discipline. During this time, she spent extended sabbatical periods as a Visiting Professor with the Microwave Laboratory, Chiba University, Chiba, Japan, and the Electromagnetics Laboratory, National Taiwan University, Taipei, Taiwan. She has authored or co-authored over 200 publications in the areas of CAD techniques for passive microwave devices and the analysis and design of microwave and millimeter-wave circuits, in particular, RF-MEMS and their applications on reconfigurable circuits and antennas. She holds patents related to ferrimagnetic devices. Her research interests include microwave devices and materials and EM techniques. Her current research interests include the development of RF MEMS, new tunable structures, and radio-wave propagation for wireless devices. Dr. Ramer is a Fellow of the Electromagnetics Academy.
9
Roberto Sorrentino (LF’90) was a Professor with the University of Perugia, Perugia, Italy, from 1990 to 2017, where he was also the Chairman of the Electronic Department, the Director of the Computer Center from 1990 to 1995, and the Dean of the Faculty of Engineering from 1995 to 2001. In 2007, he founded RF Microtech, Perugia, a spin-off company of the University of Perugia dealing with RF MEMS, microwave systems, and antennas. In recent years, he has been involved in the modeling and design of radio frequency microelectromechanical systems and their applications on tuneable and reconfigurable circuits and antennas. He has authored or co-authored over 150 technical papers in international journals and 200 refereed conference papers. He edited Numerical Methods for Passive Microwave and Millimeter Wave Structures (IEEE Press, 1989) and co-authored Advanced Modal Analysis (Wiley, 2000); RF and Microwave Engineering (McGraw-Hill, 2006); Electronic Filter Simulation and Design (McGraw-Hill, 2007); and RF and Microwave Engineering (Wiley, 2010). His current research interests include various technical subjects but mainly with numerical methods and CAD techniques for passive microwave structures and the analysis and design of microwave and millimeter-wave circuits, including filters and antennas. Dr. Sorrentino was one of the founders of the European Microwave Association in 1998, where he was also the President until 2009.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Group Velocity and Backward-Wave Modes in Closed Anisotropic Waveguides Oguzhan Demiryurek
Abstract— In this paper, the conditions of the existence of backward-wave modes in closed, lossless waveguides filled with inhomogeneous and anisotropic medium that has coupling between transverse and longitudinal field components are presented. In these waveguides, Maxwell’s equations are transformed into an infinite linear algebraic equation system by application of the Galerkin version of moment method. Propagation constants of the problem are found as the eigenvalues of the coefficient matrix of this infinite linear algebraic equation system. In this paper, the derivative of the eigenvalue is obtained analytically using the result expressions of moment method and group velocity is determined. It is utilized to reveal necessary and sufficient conditions for the existence of backward-wave mode. These conditions are adequate to determine whether this waveguide supports the backward-wave mode in a frequency range of interest. Index Terms— Backward wave, derivation of eigenvalue, group velocity, method of moment (MoM).
I. I NTRODUCTION ACKWARD wave is one in which β/ω the phase velocity and ∂β/∂ω the group velocity have opposite signs. The direction of flow of the total transmitted power and the direction of propagation of the field are opposed. It is exploited at several microwave devices as generator and amplifier such as backward-wave tube [1]–[6]. The operating principle of backward-wave oscillator is based on excitation and amplification of backward waves. It is known that backward wave propagates in periodic structures [7]. The possibility of backward-wave propagation in nonperiodic, isotropic, and uniform waveguides was first reported in [8]. In a circular waveguide loaded with concentric rod of dielectric, conditions for the existence of backward waves were examined [9], [10]. Also, theoretical and experimental investigations for backward-wave modes in the loaded waveguides were made and various applications were suggested [11]. In lossless, inhomogeneous, and anisotropic waveguides that have arbitrarily shaped cross section backward-wave and complex modes were determined [12]. Necessary and sufficient conditions for the existence of backward waves in metallic waveguide, which are
B
Manuscript received March 27, 2018; accepted May 6, 2018. (Corresponding author: Oguzhan Demiryurek.) O. Demiryurek was with the Electric and Electronic Department, Karamursel Vocational and Technical Anatolian High School, 41500 Kocaeli, Turkey (e-mail:
[email protected]). N. Yener was with the Biomedical Engineering Department, Technology Faculty, Kocaeli University, 41380 Kocaeli, Turkey. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2841862
and Namik Yener
loaded with inhomogeneous and gyrotropic media were first obtained by using transmission line equations [13]. Backward waves also occur in materials of simultaneously negative parameters. Left-handed materials or metamaterials that have both negative permittivity and permeability are called backward-wave media in view of the fact that the direction of phase velocity and the direction of power are antiparallel [14]. Backward-wave propagation in left-handed materials has been investigated by theoretical and experimental works [15]–[18]. In this paper, method of moment (MoM) was used to investigate backward-wave mode in a closed, lossless waveguide filled with inhomogeneous and anisotropic medium that has coupling between transverse and longitudinal field components. Fields of the waveguide are expressed as series expansions using eigensolutions of the waveguide filled with homogeneous and isotropic medium. Hence, Maxwell’s equations, consisting of partial differential equations, are transformed into an ordinary differential equation system which is called transmission line equations. This method is known as the Galerkin version of MoM since the test function used in the inner product is set equal to basis function [19]. Transmission line equations of this structure can be represented in the following equation: d v( p, z) TV ( p) −Z ( p) v( p, z) = (1) −Y ( p) TI ( p) i ( p, z) dz i( p, z) where p = σ + j ω is the complex frequency, Z , Y , TV , and TI are the series impedance, the shunt admittance, the voltage transfer coefficient, and the current transfer coefficient matrices per unit length, respectively. Z ( p) and Y ( p) are positive real, rational, lossless matrices. In other words, Z ( p) and Y ( p) are Foster matrices [20]. Foster matrices are analytic in Re( p) > 0, where p is real, and paraskew hermitian Z T (− j ω) = Z ( j ω) where T denotes transpose [21]. The column vectors v and i are the transmission line voltages and currents. These vectors can be defined as i ( p, z) = i ( p)e−γ ( p)z and v( p, z) = v( p)e−γ ( p)z , respectively, when z dependence of E and H is taken as e−γ ( p)z . If these definitions are substituted in (1), a linear algebraic equation system in the following equation is obtained [22]: v( p) TV ( p) −Z ( p) v( p) −γ ( p) = . (2) i ( p) −Y ( p) TI ( p) i ( p) These matrices are 2N × 2N dimensional square matrices. In fact, they have infinite dimensions. But, we use only finite truncations of these matrices in the approximation of the physical problem. N denotes the number of empty waveguide
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
mode functions used in Fourier series expansion. The column vectors v and i are 2N × 1 dimensional. In (2), transmission line voltages and currents correspond to unknown field components and propagation constants are the eigenvalues of linear algebraic equation system. According to the definition of backward-wave mode for a propagating mode in case of β(dβ/dω) < 0 in a frequency interval, this mode is backward-wave mode. As can be seen, backward-wave mode can be determined when the group velocity has been obtained. In other words, it is necessary to calculate the derivative of eigenvalue with respect to ω. In conventional approach, right and left eigenvectors are required to find the derivative of eigenvalue [23]. However, it is shown that this calculation can be performed using only the right eigenvectors [24]. A waveguide, which is filled with simultaneously inhomogeneous and anisotropic media, is considered. The anisotropic medium considered is more general than gyrotropic medium since it allows coupling between transverse and longitudinal field components. Necessary and sufficient conditions for the existence of backward wave are presented in such a waveguide. In this way, it can be easily determined whether there will be backward waves in a frequency range in this waveguide. This is a preliminary step to examine the behavior of propagation constant in a closed waveguide. Our work is distinguished from and more general than [12], [13]. In [12], either inhomogeneously filled waveguides or homogeneously filled waveguides with anisotropic media are taken up. In [12], anisotropic media considered do not allow coupling between transverse and longitudinal field components, whereas our approach covers nongyrotropic media as well. Also in [12], the filling medium permittivity is independent of frequency, and hence nondispersive, whereas our approach can account for frequencydependent media. Another difference of our work from [12] is that now magnetic permeability can also be anisotropic and inhomogeneous simultaneously with permittivity. On the other hand in [13], closed uniform waveguides inhomogeneously loaded with only lossless gyrotropic media were considered. This paper is organized as follows. In Section II, an analytical expression will be obtained for the group velocity. There is a study in which the group velocity is determined for a structure where the transfer coefficient matrices are zero in (1) [24]. The group velocity expression obtained in this paper has a general form. In Section III, conditions for the existence of backward-wave mode will be determined by this expression for dispersive and nondispersive media. In Section IV, a numerical example will be given to confirm the validity of developed method. As the example, the closed, lossless rectangular waveguide filled with transversely magnetized ferrite was considered because the exact solution for this problem exists in the literature [25]. Also, it is known that this waveguide supports the backward-wave mode [26]. II. G ROUP V ELOCITY In this section, an analytical expression for the group velocity is obtained in a lossless and closed waveguide filled with inhomogeneous and anisotropic medium that has coupling between transverse and longitudinal field components.
Our goal is to develop criteria for the existence of backwardwave mode using this expression. Assume that Z −1 ( p) and Y −1 ( p) exist. At real frequencies ( p = j ω), a quadratic eigenvalue problem is obtained, if (2) is arranged as in (3). Here, γn ( j ω) is nth eigenvalue and v n ( j ω) is the eigenvector corresponding to γn ( j ω). In (3), all of the expressions are function of p = j ω. Therefore, for the sake of brevity, j ω is omitted from equations 2 −1 γn Z + γn (Z −1 TV ) + TI Z −1 ) − Y + TI Z −1 TV v n = 0. (3) By losslessness, Z + ( j ω) = −Z ( j ω) and Y + ( j ω) = −Y ( j ω) can be written. ()+ = ()∗T denotes adjoint, T transpose, ∗ complex conjugate, and also −TI ( j ω) = TV+ ( j ω). The matrices X ( j ω) and B( j ω) defined as X ( j ω) = − j Z ( j ω) and B( j ω) = − j Y ( j ω) are hermitian since Z ( j ω) and Y ( j ω) are skew hermitian. We substitute X ( j ω) and B( j ω) in (3) 2 −1 γn X + γn X −1 TV − TV+ X −1 (4) + B − TV+ X −1 TV v n = 0 and differentiate with respect to ω 2 dγn −1 dγn −1 d X −1 X + γn2 + X TV − TV+ X −1 dω dω dω
d(TV+ X −1 TV ) d(X −1 TV − TV+ X −1 ) d B + − + γn vn dω dω dω + γn2 X −1 + γn X −1 TV − TV+ X −1 dv n = 0. (5) + B − TV+ X −1 TV dω Considering propagation modes, i.e., γn ( j ω) is pure imaginary, we take the adjoint of (5) and multiply it on the righthand side by v n ( j ω). Then, second term on the left-hand side vanishes due to (4) and the following expression is obtained: 2 dγn −1 d X −1 + dγn −1 X + γn2 + X TV − TV+ X −1 vn dω dω dω d X −1 TV − TV+ X −1 + γn dω d TV+ X −1 TV dB − v n = 0. + (6) dω dω We shall arrange it by substituting the expression of (X −1 TV − TV+ X −1 ) from (4) into its place in (6). This is as follows: dγn + 1 1 + −1 −1 v X − 2 B + 2 TV X TV v n −γn dω n γn γn d X −1 dB − (γn I + TV )+ (γn I + TV ) + = v+ N dω dω + d T d T V − V X −1 (γn I + TV ) v n +(γn I − TV+ )X −1 dω dω (7) where I denotes identity matrix. For propagation mode, if γn ( j ω) = jβn ( j ω) is substituted in (7) and rearranged,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DEMIRYUREK AND YENER: GROUP VELOCITY AND BACKWARD-WAVE MODES IN CLOSED ANISOTROPIC WAVEGUIDES
3
the following expression is obtained for the group velocity in dispersive medium:
+ X −1 + 1 (B − T + X −1 T ) v −1
v V n n V dβn βn2
= βn −1 dω v + −Q + d X Q + d B + ( A˜ + A˜ + ) v n n
dω
dω
(8) where A˜ = ( jβn I − TV+ )X −1 (d TV /dω) and Q = jβn I + TV . III. E XISTENCE OF BACKWARD WAVE The conditions for the existence of backward-wave mode can be determined using expression of the group velocity obtained in Section II for dispersive and nondispersive medium. We can arrange (8) as dβn + 1 + −1 −1 X + 2 B − TV X TV v n v βn dω n βn −1 dB + +dX + ˜ ˜ Q+ + ( A + A ) v n . (9) = v n −Q dω dω Let us define the quadratic forms in (9) as follows: dB d X −1 Q+ + ( A˜ + A˜ + ) v n Rn = v n+ −Q + dω dω 1 Pn = v n+ X −1 + 2 B − TV+ X −1 TV v n . βn
(10) (11)
A. Dispersive Medium According to the definition of backward-wave mode, the sign of the first term in brackets of the left-hand side in (9) must be negative for the existence of backward-wave mode. This means
+ −Q + d X −1 Q + d B + ( A +) v ˜ ˜ v + A n n dω dω Rn
= < 0 (12) + + 1 Pn B − T X −1 TV v n v X −1 + n
βn2
V
for the existence of a backward-wave mode. In Rn , from the Foster reactance theorem, it is known that (d B/dω) and −(d X −1 /dω) are positive definite matrices [13]. However, it is not easy to specify the characteristic of matrix ( A˜ + A˜ + ) analytically. However, if numerical investigation as in the numerical example of Section IV shows that Rn > 0 for the frequency range of interest, we can assert that (12) can be satisfied if and only if Pn < 0. Otherwise, for a dispersive filling medium Pn < 0 and Rn > 0 can be stated as a sufficient condition for the existence of a backward wave. These conditions obtained are general and they can be used for all waveguide media. Let us show this on an example where the transfer coefficient matrices are zero, i.e., TV = 0 and TI = 0. These matrices are zero when there is no coupling between transverse and longitudinal field components in waveguide. In this case, Rn > 0 always true since A˜ vanishes in (10). If (11) is rearranged, one can write βn2 v n+ X −1 v n + v n+ Bv n < 0.
(13)
For the propagating mode, v n+ X −1 v n and v n+ B v n are positive or negative at the same time [13]. Inequality in (13) is provided only if these quadratic forms are negative.
Fig. 1. Rectangular waveguide filled with transversely magnetized ferrite slab. Region 1: ε0 , μ0 . Region 2 (ferrite slab): ε0 ε f , [μ].
Necessary and sufficient conditions for the existence of backward wave have been given as v n+ X −1 v n < 0 and v n+ B v n < 0 in metallic waveguides filled with medium, which do not induce coupling between transverse and longitudinal fields [13]. This confirms the statement given in (13). B. Nondispersive Medium As commonly known, if the constitutive parameters of waveguide do not depend on frequency, the medium is called a nondispersive medium. We can reevaluate the results obtained in Section II in a frequency interval in which the constitutive parameters are independent of frequency. The derivation of matrix TV ( j ω) is zero in this medium and A˜ = 0. When (8) is arranged, the group velocity for the nondispersive medium is obtained as
v n+ X −1 + β12 B − TV+ X −1 TV v n dβn −1 n
= βn . (14) + X −1 dω + v n −Q d dω Q + ddωB v n In the nondispersive medium, conditions for the existence of backward-wave mode are obtained by similar steps, which were carried out in Section III-A. In this case, (10) can be written as −1 dB + +dX Q+ (15) Rn = v n −Q v n > 0. dω dω Equation (15) is always true, because (d B/dω) and −(d X −1 /dω) are positive definite matrices [13]. Hence, necessary and sufficient condition for the existence of backward wave can be expressed as Pn < 0 in (11). The expression of the group velocity in (14) is simpler than the one obtained earlier and there has been no assumption for the existence of backward wave. However, the problem has been restricted since constitutive parameters do not depend on frequency. IV. N UMERICAL E XAMPLE In this section, applicability of the analytical expression obtained for the existence of backward wave will be shown on a numerical example. The structure of a rectangular waveguide with transversely magnetized ferrite slab is shown in Fig. 1. The permeability tensor for the ferrite slab magnetized transversely is given as follows [27]: ⎡ ⎤ μr 0 − j κ 0 ⎦ (16) [μ] = μ0 ⎣ 0 1 jκ 0 μr where μr = 1 + (ω0 ωm /ω02 − ω2 ), κ = (ω ωm /ω02 − ω2 ).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
Fig. 2. Comparison of the results of exact solution and MoM. Solid line: phase coefficient β. Dashed line: attenuation constant α.
Here, ωm = γ (4π Ms ), ω0 = γ H0 is the Larmor resonance frequency, μ0 = 4μ × 10−7 H/m, γ = 2.8 Mhz/Oe is the gyromagnetic ratio, H0 is the dc magnetic biasing field, 4π Ms is the saturation magnetization, and ω is the operating frequency. The parameter values of rectangular waveguide partially filled with a slab of transversely magnetized ferrite are as follows: H0 = 500 Oe, 4π Ms = 2000 G, ε f /ε0 = 12.6, a = 10 mm, b = 12.5 mm, and d = 2 mm. The results of exact solution and MoM are compared in Fig. 2. The results of MoM converge to results of exact solution when the number of modes used in series expansion is increased. If the number of modes is increased more, the size of coefficient matrix in (2) grows and the elapsed time for calculation of eigenvalues extends. Therefore, we used 225 TE + 225 TM modes to obtain the result of MoM. There is backward-wave mode in the interval of 15.1226 GHz f 15.245 GHz in Fig. 2. There is a bifurcation point just above the branch point where the backward-wave mode begins. This point where bifurcation exist is an analytical point [28]. Similar analytical points may exist in other parts of dispersion curve. To determine whether backward-wave mode exists in the frequency range, it must first be shown that the condition in (10), i.e., Rn > 0, is provided in dispersive medium. The calculation method of Rn is as follows. 225 TE + 225 TM modes have been used to obtain results of MoM. This means that 450 eigenvalues will be obtained at each frequency from the eigenvalue problem of (3). On the frequency band, pertaining to the backward wave, for 450 eigenvalues and eigenvectors of corresponding to these eigenvalues, Rn is calculated for each frequency. The results of this assessment are shown in Fig. 3. It is seen that the condition Rn > 0 is provided for each of the eigenvalues. Quadratic form Pn in (11) is calculated and shown in Fig. 4. Solid line and dashed line denote phase coefficient and the values of quadratic form Pn , respectively. Pn is negative only in the interval where the backward-wave mode exists.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 3. Values of Rn for each eigenvalue on the frequency band pertaining to the backward wave.
Fig. 4.
Relation between phase coefficient and quadratic form Pn .
This confirms the validity of condition Pn < 0, which states sufficient condition for the existence of backward wave. V. C ONCLUSION In this paper, an analytical expression for the group velocity in closed, lossless, uniform waveguide filled with inhomogeneous and anisotropic medium has been obtained. Conditions for existence of backward-wave mode have been derived using this expression. In this way, it can be easily determined whether there will be backward waves in a frequency range in this waveguide. A numerical example is given to verify validity of these conditions. The obtained findings may be one of the important tools in design of backward-wave systems. R EFERENCES [1] N. Gopalsami and A. C. Raptis, “Millimeter-wave radar sensing of airborne chemicals,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 4, pp. 646–653, Apr. 2001. [2] A. Dobroiu, M. Yamashita, Y. N. Ohshima, Y. Morita, C. Otani, and K. Kawase, “Terahertz imaging system based on a backward-wave oscillator,” Appl. Opt., vol. 43, no. 30, pp. 5637–5646, 2004.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DEMIRYUREK AND YENER: GROUP VELOCITY AND BACKWARD-WAVE MODES IN CLOSED ANISOTROPIC WAVEGUIDES
[3] U. A. Khan, N. Al-Moayed, N. Nguyen, K. A. Korolev, M. N. Afsar, and S. P. Naber, “Broadband dielectric characterization of tumorous and nontumorous breast tissues,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2887–2893, Dec. 2007. [4] M. Mineo and C. Paoloni, “Corrugated rectangular waveguide tunable backward wave oscillator for terahertz applications,” IEEE Trans. Electron Devices, vol. 57, no. 6, pp. 1481–1484, Jun. 2010. [5] G. Chen, J. Pei, F. Yang, X. Y. Zhou, Z. L. Sun, and T. J. Cui, “Terahertzwave imaging system based on backward wave oscillator,” IEEE Trans. THz Sci. Technol., vol. 2, no. 5, pp. 504–512, Sep. 2012. [6] J. F. David, A. J. Durand, M. Mineo, and C. Paoloni, “Design of a terahertz cascade backward wave amplifier,” IEEE Trans. Electron Devices, vol. 61, no. 6, pp. 1715–1720, Jun. 2014. [7] L. Brillouin, Wave Propagation in Periodic Structures: Electric Filters and Crystal Lattices, 2nd ed. New York, NY, USA: Dover, 2003. [8] P. J. B. Clarricoats and R. A. Waldron, “Non-periodic slow-wave and backward-wave structures,” Int. J. Electron., vol. 8, no. 6, pp. 455–458, 1960. [9] P. J. B. Clarricoats, “Backward waves in waveguides containing dielectric,” Proc. Inst. Elect. Eng.—Monographs, vol. 108, no. 14, pt. C, pp. 496–501, Sep. 1961. [10] P. J. B. Clarricoats, “Circular-waveguide backward-wave structures,” Proc. Inst. Elect. Eng., vol. 110, no. 2, pp. 261–270, Feb. 1963. [11] R. A. Waldron, “Theory and potential applications of backward waves in nonperiodic inhomogeneous waveguides,” Proc. Inst. Elect. Eng., vol. 111, no. 10, pp. 1659–1667, Oct. 1964. [12] A. S. Omar and K. F. Schunemann, “Complex and backward-wave modes in inhomogeneously and anisotropically filled waveguides,” IEEE Trans. Microw. Theory Techn., vol. MTT-35, no. 3, pp. 268–275, Mar. 1987. [13] N. Yener, “Necessary and sufficient conditions for the existence of backward waves in metallic waveguides,” J. Electromagn. Waves Appl., vol. 17, no. 12, pp. 1713–1722, 2003. [14] I. V. Lindell, S. A. Tretyakov, K. I. Nikoskinen, and S. Ilvonen, “BW media—Media with negative parameters, capable of supporting backward waves,” Microw. Opt. Technol. Lett., vol. 31, no. 2, pp. 129–133, 2001. [15] A. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, no. 10, pp. 5930–5935, Nov. 2002. [16] J. Woodley and M. Mojahedi, “Backward wave propagation in lefthanded media with isotropic and anisotropic permittivity tensors,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 23, no. 11, pp. 2377–2382, Nov. 2006. [17] P.-R. Loh, A. F. Oskooi, M. Ibanescu, M. Skorobogatiy, and S. G. Johnson, “Fundamental relation between phase and group velocity, and application to the failure of perfectly matched layers in backwardwave structures,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 79, no. 6, p. 065601, 2009. [18] A. Dubinov and I. N. Kitayev, “Can ion-acoustic waves in plasma be backward waves?” Phys. Wave Phenomena, vol. 25, no. 2, pp. 137–139, 2017. [19] R. F. Harrington, Field Computation by Moment Methods. New York, NY, USA: IEEE Press, 1993. [20] D. F. Noble, “Circuit properties of dispersive coupled transmission lines and waveguides,” Ph.D. dissertation, Dept. Elect. Eng., Cornell Univ., Ithaca, NY, USA, 1972.
5
[21] D. Noble and H. Carlin, “Circuit properties of coupled dispersive transmission lines,” IEEE Trans. Circuit Theory, vol. CT-20, no. 1, pp. 56–64, Jan. 1973. [22] S. A. Schelkunoff, “Generalized telegraphist’s equations for waveguides,” Bell Syst. Tech. J., vol. 31, no. 4, pp. 784–801, Jul. 1952. [23] P. Lancaster, “On eigenvalues of matrices dependent on a parameter,” Numerische Mathematik, vol. 6, no. 1, pp. 377–387, 1964. [24] N. Yener, “A novel computational method for group velocity in metallic waveguides,” Appl. Math. Comput., vol. 153, no. 3, pp. 855–863, 2004. [25] G. Barzilai and G. Gerosa, “Modes in rectangular guides partially filled with transversely magnetized ferrite,” IRE Trans. Antennas Propag., vol. AP-7, no. 5, pp. 471–474, Dec. 1959. [26] R. Marquës, F. Mesa, and M. Horno, “On the complex nature of higher order modes in lossless nonreciprocal transversely magnetized waveguides,” IEEE Microw. Guided Wave Lett., vol. 2, no. 7, pp. 278–280, Jul. 1992. [27] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [28] T. Kato, Perturbation Theory for Linear Operators, vol. 132. Berlin, Germany: Springer-Verlag, 1995.
Oguzhan Demiryurek was born in Ankara, Turkey. He received the B.S., M.S., and Ph.D. degrees from the Department of Electronics and Computer Education, Kocaeli University, Kocaeli, Turkey, in 2005, 2009, and 2015, respectively. He has been an Electronic Teacher with the Karamursel Vocational and Technical Anatolian High School, Kocaeli. His current research interests include waveguides and computer-aided analysis of active and passive circuits.
Namik Yener was born in Ankara, Turkey, in 1952. He received the B.S. degree in electrical engineering from Istanbul Technical University, Istanbul, Turkey, in 1974, the M.S. degree in electrical engineering from Cornell University, Ithaca, NY, USA, in 1977, and the Ph.D. degree in electrical engineering from Istanbul Technical University, in 2000. From 1983 to 1995, he was an Electronics Engineer with various companies in Turkey. In 1995, he joined the Technical Education Faculty, Kocaeli University, Kocaeli, Turkey, where he was a Lecturer and an Assistant Professor from 1995 to 2012. Since 2012, he has been a Professor with the Biomedical Engineering Department, Technology Faculty, Kocaeli University. His current research interests include microwave devices, waveguides, and the theory of special relativity.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Modeling of Passive Intermodulation With Electrical Contacts in Coaxial Connectors Qiuyan Jin , Member, IEEE, Jinchun Gao, Member, IEEE, George T. Flowers, Yongle Wu , Senior Member, IEEE, and Gang Xie Abstract— Coaxial connectors are one of the main contributors to passive intermodulation (PIM) in radio frequency systems. Such systems exhibit nonlinear characteristics, especially as the transmission power is increased. In this paper, microscopic surface analysis and macroscopic nonlinear models are introduced to provide explanations for PIM characteristics and to make more precise predictions for the third-order and fifth-order intermodulation products (IM3 and IM5) power resulting from n-type connectors. The equivalent circuit models are presented to provide insight into the effects of degradation at the microscopic level. Simulation calculations are performed to explore the resistance and capacitance in the circuit models and the relative contribution of various physical parameters. A full discussion of nonlinear current–voltage models coupled with an original method for solving polynomial coefficients is provided. A series of experiments based on two-tone testing is conducted to measure IM products with the input power from 30 to 44 dBm. The experimental results verify the predictions both in the undegraded and the degraded conditions. The power-dependence of the PIM features and the effect of contact surface degradation on connectors’ performance are observed from the measurements, which are consistent with the theoretical predictions. Index Terms— Coaxial connectors, contact surface, nonlinearity, passive intermodulation (PIM), two-tone tests.
I. I NTRODUCTION ASSIVE intermodulation (PIM) distortion in coaxial connectors may cause severe interference in communication systems, especially when the frequency of the PIM is within the bandwidth of the filter. Accordingly, the PIM phenomenon caused by the coaxial connectors is a growing concern as the frequency bands are becoming increasingly more densely populated [1]. For the PIM measurement, a variety of techniques have been developed [2], [3]. These measurement systems are optimized based on the two-tone testing principle for high dynamic range
P
Manuscript received November 9, 2017; revised February 22, 2018 and April 27, 2018; accepted May 8, 2018. This work was supported in part by the National Natural Science Foundations of China under Grant 61671084 and in part by the NSF Center for Advanced Vehicle and Extreme Environment Electronics, Auburn University. (Corresponding authors: Qiuyan Jin; Jinchun Gao.) Q. Jin, J. Gao, and Y. Wu are with the Beijing Key Laboratory of Work Safety Intelligent Monitoring, School of Electronic Engineering, Beijing University of Posts and Telecommunications, Beijing 100876, China (e-mail:
[email protected];
[email protected];
[email protected]). G. T. Flowers is with the Center for Advanced Vehicle and Extreme Environment Electronics, Department of Mechanical Engineering, Auburn University, Auburn, AL 36849 USA (e-mail:
[email protected]). G. Xie is with the School of Information and Communication Engineering, Beijing University of Posts and Telecommunications, Beijing 10876, China (email:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2838147
and more accurate performance. For PIM power estimation, Kozlov et al. [4] developed a behavior model of transmission lines to accurately model the impact of PIM for analog and digitally modulated signals. The PIM features of nonreciprocal devices whose harmonic distributions are asymmetrical have been studied using the memristor model [5], which provides one approach to model the components or systems with asymmetrical PIM distortions. Radio frequency (RF) coaxial connectors play a critical role in communication systems by serving as the infrastructure for transferring energy or exchanging information between different circuit modules. Timsit [6], [7] and Malucci and Panella [8] provide good summaries of previous studies on electrical connectors in high frequencies. As the transmission power increases, nonlinear characteristics should be considered. Henrie et al. [9]–[11] proposed a method for modeling the effect of multiple point sources of PIM applied to coaxial connectors, allowing for the prediction of PIM in networks with multiple connectors. Jin et al. [12] showed that the IM distortion of RF connectors is strongly influenced by the physical parameters of the contact surface and surrounding environment. However, there are relatively few studies on the nonlinear behavior model of the degraded connector surface, which is a topic of concern in modeling the effect of connector degradation on PIM. This paper focuses on modeling the characteristic of PIM in order to make precise predictions of IM power caused by n-type connectors both in the un-degraded and degraded situations. The contact surface is regarded as the primary nonlinear source of PIM, and the effect of surface degradation on PIM is investigated for the first time. Corresponding contact models are developed for a variety of different degradation levels. Each element in the circuit models is related to the microscopic surface physical parameters. Undetermined coefficients in different nonlinear current–voltage (I –V ) models are obtained by experimental tests, and a novel method for solving for the higher polynomial coefficients is provided. The proposed model can be successfully applied to 900-MHz frequency band, specifically the power dependence and spectrum regrowth are observed by a series of experiments based on two-tone tests with the input power from 30 to 44 dBm. These analytical models are verified by comparison with the detailed model simulations and experimental results. II. N ONLINEAR M ODELS BASED ON C ONTACT S URFACE The RF coaxial connectors are often exposed to the atmospheric environment and the contact surfaces can
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. SEM for the center conductor of the coaxial connector with different contamination levels. (a) Clean surface. (b) Slight degraded surface. (c) Severe degraded surface.
be contaminated with dust particles and pollutants. Thus, the actual contact area is only a small portion of the macroscopic contact area. However, the microscopic physical properties of the contact surface are the critical sources of nonlinear effects in such connectors. Consequently, it is of substantial value to develop a circuit model to associate PIM power with surface degradation parameters. A. Equivalent Circuit Models for Contact Surface At high frequency, the current in the inner conductor changes path due to the skin effect and the contact junction behavior transforms from acting primarily as a simple resistance to a complex impedance. Based on the surface model for rectangular waveguide with thin oxide film [13], equivalent circuit models are developed for different degradation levels. In this paper, for gold-plated coaxial connectors, contaminants are randomly dispersed on the contact surface in an island-like structure, as shown in Fig. 1. Accordingly, the equivalent circuit models for the contact surfaces with three contamination levels are developed. For the clean case, the surface covers two parallel regions: the contacting areas where all the contact points are metal–metal asperities, and the void regions where no contact exists. Constriction resistance Rc and noncontact capacitance Cn represent the electrical characteristics of the two regions, respectively, as shown in Fig. 2(a). As corrosion products increase on the contact surface, some of the metallic contact points are separated by contaminants. These metalcorrosion film–metal contact points become the third current path parallel with the initial model, as shown in Fig. 2(b). Film resistance R f and contact capacitance Cc in this circuit model result from the corrosion film. Finally, the circuit model in Fig. 2(c) models the condition where all of the contact points are covered by corrosion products. However, in this last case, the connector is close to failure with very poor performance. Thus, the clean (nondegraded) model in Fig. 2(a) and the slight degradation model in Fig. 2(b) are chosen as the primary connector cases for this study. The impedance of contact surfaces for the nondegraded situation can be expressed as Zn =
Rc . 1 + Rc j ωCn
(1)
As the contact surface degrades, for the sake of simplicity, a cleanness parameter λ is defined as the ratio of contaminated area and total area, with values between 0 and 1. Accordingly, the contact impedance for degraded connectors can be
Fig. 2. Equivalent circuit models of contact surface in different situations. Contact model with (a) clean surface, (b) slight degraded surface, and (c) severe degraded surface.
calculated as Zd =
Rc X f + λR f X c · X f + X f + (λX c + (λ − λ2 ))(R f /Rc )
(2)
where X f = 1 + R f j ωCc and X c = Rc j ωCn . Each element in the equivalent circuit models are determined by the surface physical parameters such as roughness, contact pressure, and cleanness. So, the surface physical characteristics must be modeled in order to describe the nonlinear properties of contact impedance. B. Modeling of the Surface Physical Properties The classical Greenwood and Williamson (GW) model [14] are adopted to assess the effect of mechanical properties and pressure on the contact surface. σ in the Gaussian distribution φ(z) is the standard deviation of the surface heights, which increases with an increase in roughness. η is the number of asperities in a unit area, A is the sum of the actual contact area Ac , and An is the noncontact area. Thus, the total number of microasperities can be determined as ∞ Nc = η · A · φ(z)dz (3) d
where z is the height of an asperity and d is the average surface separation. For a gold-plated connector, resistivity ρ = 2.4 × 10−8 · m. According to SEM analysis of the inner conductor, the visual contact area is approximated as 0.08 mm2 . Based on the GW model, the average surface distance is linked to contact pressure and surface roughness [15], which is simply expressed as d = 3.5σ − 0.05P. Asperity density can be determined by using a profilometer, as shown in Fig. 3. The asperity density is given by [16] as √ (4) η = (m 4 /m 2 ) /6π 3 where m 2 and m 4 are defined as the mean-square slope and curvature, which can be calculated by the surface roughness profile N−1 1 dz (x) 2 (5) m2 = N −2 dx i i=2 2 N−1 1 d 2 z (x) m4 = . (6) N −2 dx2 i i=2
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: MODELING OF PASSIVE INTERMODULATION WITH ELECTRICAL CONTACTS IN COAXIAL CONNECTORS
3
coordinates is shown in Fig. 5. Therefore, using the transmission characteristics for the transverse electric and magnetic mode, the total current is obtained by integrating the surface current density across the surface of the inner conductor [19], as shown in the following equation: 2π ε V0 ε V0 2π adϕ = . (7) I0 = μ a ln ab 0 μ ln ab
Fig. 3. Profilometry display of inner conductor surface of n-type connector.
Based on N = 500 for this surface profile test, asperity density η = 2.27 × 1010 . Assuming a frequency of 900 MHz, the dependence of resistance and capacitance on the contact pressure and roughness are determined from the analysis of the models, as shown in Fig. 4. According to Holm’s theory [17], [18], the constriction resistance is defined as Rc = ρ/2Nc ra while the film resistance is R f = σs /Ac , where ρ is the metal resistivity, ra is the radius of asperity, and σs is the surface resistivity of the insulating film. As the pressure on the surface increases, the average distance between the two surfaces decreases. Thus, the actual contact points Nc is increased and consequently the real contact area Ac is increased. Therefore, the constriction resistances and film resistance decrease with the increase of contact pressure. For low mechanical loads, film resistance is much larger than that of constriction resistance. However, as the contact pressure increases, the values of the two resistors become very small, with low connector loss. If the contact pressure remains the same, the average distance increases with an increase in roughness and Nc and Ac correspondingly decrease. Thus, the resistance increases with an increase in roughness due to an increasing of the standard deviation of the surface heights. Contact capacitance is Cc = ε f Ac /s, and noncontact capacitance is Cn = ε0 An /d, where s is the average thickness of the film contamination. ε f and ε0 are the permittivity of the insulating layer and the air, respectively. Consequently, the value of the two capacitors increases with the increase of pressure and decreases with the increase of roughness. Accordingly, the impedance model is analyzed considering the effect of contact pressures and the contamination levels. For high contact pressures, the influence of corrosion on contact junction impedance is small, which is consistent with the properties of the resistance and capacitance in the circuit model. However, for the cases of low mechanical load, impedance changes markedly with the degradation level. The low pressure coupled with high contamination levels produce the highest impedance values. Based on the model of contact impedance, the voltage drop between contact surfaces can be calculated once the current is determined. The symmetry deviations of the contact structure have a significant impact on the PIM. However, the coaxial connection is generally regarded as the symmetrical structure. A typical cross section of a coaxial structure using cylindrical
The electrical power can be calculated as μ b 2 1 ε · ln a · I0 . (8) Pin = V0 I0 = 2 4π Magnetic permeability μ and relative dielectric constant ε are the properties of the materials of the coaxial connectors. For the inner conductor of coaxial connectors, there are only several contact spots between the female and male inner conductors because the inner diameter of the receptacle is slightly smaller than that of the diameter of the pin. Therefore, the contact points occur on the edge of the slot. Each contact junction model containing multiple asperities is assumed to be the same due to the symmetry of contact structure. Therefore, the voltage across the contact junction can be simplified as the current multiplied by the contact impedance. In order to investigate the PIM characteristics, the simple case of the circuit being driven by two signals of different frequencies are considered, which is sufficient to provide a basic understanding of the relevant aspects of PIM in coaxial connectors. Thus, the voltage drop of un-degraded connectors is calculated by √ √ Rc ξ Pin Rc ξ Pin cos ω1 t + cos ω2 t (9) v= 1 + Rc j ω1 Cn 1 + Rc j ω2 Cn √ √ where ξ = ((4π ε)/( μ(ln b − ln a)))1/2 is defined by the materials and structure of the coaxial connectors. ω1 and ω2 are the angular frequency of signals. Similarly, the voltage drop of degraded connectors is calculated by √ √ K 2 ξ Pin K 1 ξ Pin cos ω1 t + cos ω2 t v= Y1 + G 1 (R f /Rc ) Y2 + G 2 (R f /Rc ) (10) where K j = Rc (1 + R f j ω j Cc )+λR f , G j = λRc j ω j Cn +λ− λ2 , Y j = (1 + Rc j ω j Cn )(1 + R f j ω j Cc ), and j = 1 and 2, respectively. III. PIM P REDICTIONS BASED ON N ONLINEAR I –V M ODELS PIM in a connector results from the nonlinear relationship between the current flowing through the contact resistance and the voltage across it. Once an I –V behavior model in the contact junction is identified, the PIM products can be quantitatively predicted. A. Comparison of Different I–V Models for PIM Predictions Three mathematical models for describing the nonlinear I –V relationship are presented and compared below. The first
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 4. Parameters in the circuit models are closely related to contact pressures and surface roughness. (a) and (b) effect of pressures while (c) and (d) effect of roughness on resistances and capacitances.
Fig. 5. Electromagnetic field distribution of the coaxial transmission line in cylindrical coordinate system. V0 is the voltage drop between the inner and outer conductors, a is the radius of the inner conductor, and b is the radius of the outer conductors. Fig. 6. Nonlinear resistance characteristics of different I –V relation models. The reciprocal of slope can be regarded as the resistance of the model.
is expressed as a polynomial of the voltage [20] i=
N
an v n .
(11)
the exponential function [22], [23]. They are expressed as
n=1
In communication systems, relative to the even IM products, odd IM products are closer to the desired frequencies. The third-order and fifth-order intermodulation products are typically the greatest magnitude among all harmonics and most likely to fall into the receiver band, which may serve to reduce the signal-noise-ratio and degrade channel capacity [21]. Based on the mathematical analysis, the most serious interference (IM3 and IM5) are only produced by the odd terms in the polynomial model. Thus, n is generally defined as an odd number. Two other nonlinear models are also considered. They are based on using the hyperbolic tangent function and
i = g1 · tanh(g2 · v)
(12)
i = k1 · exp(k2 · v).
(13)
and
The nonlinear features of each model are different due to variations in the conduction mechanisms, as shown in Fig. 6. The exponential model is typical of electron tunneling across a junction [24]. When the insulating layer is thin, the tunnel resistivity decreases due to the quantum tunneling effect as the voltage between the junction increases. The polynomial model exhibits a similar nonlinear characteristic, with the resistance decreasing with increases in applied voltage. However,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: MODELING OF PASSIVE INTERMODULATION WITH ELECTRICAL CONTACTS IN COAXIAL CONNECTORS
5
TABLE I C OEFFICIENTS FOR S IX P OLYNOMIAL M ODELS
Fig. 7. PIM characteristics with theoretical models on the power dependent.
I –V curve of the hyperbolic tangent function has a significantly different characteristic, with the resistance increasing with increases in voltage, which is expected from an electrothermal explanation. Using V1 and V2 to represent the amplitudes of the two signals in (9), analysis of a specific nonlinear model can be performed to determine the corresponding IM3 current. Further manipulation using trigonometric identities results in expressions for the current of the third-order intermodulation products as shown in the following equations: 15a5 V12 V23 5a5 V14 V2 3a3 2 V1 V2 + + (14) 4 4 8 1 1 1 |(i IM3 )Tan | = − g1 g23 V12 V2 + g1 g25 V14 V2 + g1 g25 V12 V23 4 6 4 (15) |(i IM3 )Pol | =
|(i IM3 )H-Pol | =
and |(i IM3 )Exp | =
1 1 1 k1 k 3 V 2 V2 + k1 k25 V14 V2 + k1 k25 V12 V23 . 8 2 1 96 64 (16)
The IM3 power can be then mathematically expressed as R (17) PIM3 = · (i IM3 )2 2 where R is a standard load of 50 . Similarly, the IM5 power can be predicted using the same method. For an un-degraded connecter, two IM3 powers have been tested to be −111.32 and −84.12 dBm, respectively, for particular input powers (30 and 40 dBm). The undetermined coefficients in each model could be determined, thus the IM products power can be predicted in other input powers. As shown in Fig. 7, the powers of IM3 and IM5 are theoretically predicted in an input power range from 30 to 44 dBm. The power of IM products increases approximately linear with the input power. The slope of these curves is estimated using linear fitting. For the polynomial model, hyperbolic model, and exponential model, the corresponding values for the IM3 slope are 2.37, 2.68, and 2.58 while the values for the IM5 slope are 5, 4.52, and 4.72, respectively. B. Undetermined Coefficients Algorithm for Higher Order Polynomial Model to
the undetermined coefficients for each term need to be measured and calculated from test results. For example, for the fifth-order polynomial model, the relationship between the IM3 current and the voltage drop can be obtained using (14). If we set two input powers, two output IM3 powers can be measured. The IM3 currents are calculated from the output IM3 powers based on (17). The voltage drop between the connected junction can be then calculated from the input power based on (9). By solving a binary linear equation group, the coefficients a3 and a5 are then obtained. For a 13th-order polynomial, which has a total of six coefficients, the six input powers and the six measured output IM3 powers are used to solve for the six coefficients. As for the IM3 current, trigonometric identities result in the following expression:
The higher order polynomial model is generally sufficient predict the higher order IM products. However,
735a7 V 7 1323a9 V 9 3a3 3 25a5 V 5 V + + + 4 8 64 32 552123a13V 13 38115a11V 11 + . (18) + 256 1024
Using the same method, the coefficients of higher polynomial models are calculated. The resulting coefficients are shown in Table I. For higher order polynomial, calculating the required coefficients requires more test data, which dramatically increases the complexity of the analysis. In order to obtain the coefficients in a simpler and efficient manner, a mathematical model was employed. Based on the characteristic of alternating positive and negative terms and different magnitudes in each, the coefficient function can be mathematically expressed as a(m, x) = (−1)x−1 · f 1 (x) × f 2m (x)
(19)
where m is the number of terms that are retained, and x is the index of a particular item which can be mathematically calculated by x = (order − 1)/2, where order = 3, 5, 7, . . . , N. The number of coefficients and maximum degree together with the algorithm used for computation of the higher order coefficients is discussed below. First, apply the logarithmic function on both sides of (19), which produces the following expression: log10 (|a(m, x)|) = log10 f 1 (x) + m log10 f 2 (x).
(20)
The first five column data in Table I are used to fit the coefficient equations and the sixth data point is used to verify
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
the results. The coefficient functions are obtained by fitting the specific data points, as shown in the following equations: log10 ( f1 (x)) = 0.1303x 3 − 1.173x 2 + 8.779x − 2.578 (21) log10 ( f 2 (x)) = −0.03971x 3 +0.3157x 2 − 0.5741x +0.3018. (22) The coefficient a3 is the key index to verify this method. Considering the maximum expand order m = 6, the coefficient in the first item (x = 1) is calculated to be 1.52 × 105 , which is only a 1.5% error as compared with the associated experimental value. Therefore, this method is a useful strategy for determining the required coefficients of the higher order polynomial model, especially when the higher order intermodulation products need to be analyzed.
IV. E XPERIMENTAL V ERIFICATION A. Experimental Setup The n-type coaxial connectors, which are widely used in microwave equipment and radio frequency circuits of communication systems, were chosen as the device under test (DUT) of this paper. Reflected intermodulation power would be measured by the PIM analyzer coupled with a low-PIM load, as shown in Fig. 8. A FISCHERSCOPE X-rays fluorescence thickness gauge with WinFTM software is used to analyze the material composition of the inner conductor. The measurement results showed that the base material is copper and that nickel is the middle layer between the copper base and the outer gold-plated layer. In order to determine the contact pressure on the specific structure of the sample coaxial connector, the extraction force is measured using a force gauge. The contact pressure is then calculated using the relationship between the sliding friction force and pressure. The samples are tested both in the pristine and degraded conditions. Five pairs of female-to-female and male-to-female connectors were analyzed and tested. The degraded surface is located between the female and male pins. Diagrams illustrating the individual splits in the n-type conductor are shown in Fig. 8. Accelerated degradation processing of the samples was performed using nitric acid vapor for 1 h. During the accelerated processing, the receptacle of the male-to-female connector was protected with a rubber casing and anticorrosion tape while the pin of the male-to-female connector was exposed to the nitric acid vapor. Thus, the contact surface of the connector pair was contaminated and the other two female ports remained pristine. In order to investigate the effect of the two female ports on the PIM measurements, a female–female connector was directly tested replacing the DUT in Fig. 8. The test result shows that the IM power generated by a female– female connector is far below the IM power caused by DUT in Fig. 8. Therefore, all the measurement data represents the IM power caused by the nonlinear junction between the female and male connections, and the influence of the other two contact surfaces can be neglected.
Fig. 8.
Measurement system architecture and the cross section of DUT.
B. Experimental Results and Discussion 1) Predictions of PIM for Un-Degraded Connectors: According to the international standard IEC-62037 for RF connector intermodulation measurements, the DUT was tested at a 900 MHz frequency band, which is the typical frequency band for GSM. For all the measurements reported herein, the carrier tones were set at the frequencies of 925 and 960 MHz. Accordingly, the measured IM products were at a frequency of 890 MHz for IM3 and 855 MHz for IM5. In order to perform the testing, the powers of the two input signals were configured to increase from 30 to 44 dBm simultaneously. The power of the IM3 and IM5 are then determined by adjusting the filter frequency of the PIM analyzer. When the input power is lower than 36 dBm, as shown in Fig. 9, because the residual intermodulation of the PIM analyzer is −165 dBc (−122 dBm), the measured data for the IM5 is not reliable as the test instrument does not have adequate sensitivity to accurately measure PIM values at those low levels. The results of this testing are illustrated in Fig. 9, which show that the intermodulation power is proportional to the input power with a slightly nonlinear feature. The slope of the IM3 was fit and calculated to be 2.59 on average, and the power level of the IM3 was much higher than that of the IM5, which is in accordance with the theoretical predictions shown in Fig. 7. The IM products power of the passive components and device with 43-dBm input power are generally the index of the PIM characteristics. Therefore, in order to verify the prediction models, the power of IM3 and IM5 using the three prediction models were compared with the measured data, as shown in Table II. For the predictions of IM3, three models present similar performance. However, the IM5 could not be modeled using these three nonlinear I –V relation models. These three models contain only two undetermined coefficients, and the number of items that are retained is not enough to predict higher order intermodulation effects. Therefore, the higher order polynomial model is adopted in this paper to verify the prediction accuracy for IM5. As shown in Fig. 9, the slope of IM5 could be obtained by linearly fitting the measurement data, with a resulting
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: MODELING OF PASSIVE INTERMODULATION WITH ELECTRICAL CONTACTS IN COAXIAL CONNECTORS
Fig. 9. Measured results of power dependence of the IM3 and IM5 for five n-type connection in the two-tone tests.
7
Fig. 10. Comparison of various prediction models and measured data for IM5 power (unit: dBm).
TABLE II IM P OWERS OF P REDICTION M ODELS AND M EASURED D ATA W ITH T WO I NPUT C ARRIER P OWERS AT 43 dBm (T HE U NIT OF P OWER IS dBm)
value of 3.24. For a ninth-order polynomial, the theoretical value of the slope of IM5 is calculated to be 3.46, while the power of IM5 for a 43-dBm input power is predicted to be −98.18 dBm. Therefore, the ninth-order polynomial model can predict either the slope or the specific power value with minor error. Consequently, it is sufficient for predicting the IM5 power within a large power range due to the linear power dependence characteristic of PIM. The predicted IM5 power and the measured data are shown in Fig. 10. For the IM5 prediction of the un-degraded samples, a ninth-order polynomial model shows the best performance among all the I –V models providing the most accurate predictions especially in the highinput power range. The maximum prediction error is 0.92 dB for the ninth-order polynomial and 2.18 dB for the hyperbolic tangent model which is the most accurate of the other three models. However, the ninth-order polynomial model has more undetermined coefficients as compared with the other three models, which increases the complexity of the model. But, it can be feasibly employed for the prediction of higher order intermodulation products. 2) Predictions of PIM for Degraded Connectors: In order to experimentally evaluate the effect of degradation on PIM characteristics, five samples were tested before and after accelerated corrosion processing. The frequency of carrier 1 is fixed at 925 MHz, while the frequency of the other signals varies from 960 to 935 MHz. According to the measurement uncertainty curves of the test system, the measurement accuracy increases with increased difference between the tested PIM power and residual IM. The power of IM5 is close to the residual IM power for a low input power, which causes comparable measurement error. Based on the calculation of the uncertainty formula, the uncertainly for IM5 is +0.83 and −0.92 dB
when the powers of the two carriers are set at 43 dBm [25]. The calculated results of the voltage drop across the contact junction show that the effect of frequency spacing in this band on the PIM level is negligible. Therefore, the sweep frequency measurements for IM products powers should be flat curves. However, considering the noise floor induced by the environmental effects, the PIM analyzer provides an industry standard 0.5 dB-basic accuracy, and the measurement results slightly fluctuate with the frequency. The valid value of IM power can be obtained by averaging all the tested IM power on a particular frequency band. Comparison of the IM3 and IM5 power obtained from the un-degraded and the degraded connectors is shown in Fig. 11. As can be observed by inspection of the experimental results, the measured curves are approximately flat with a random fluctuation due to the environmental effects. However, the maximum scatter of the power is less than 1 dB, which is within the permitted measuring error of the PIM analyzer. Based on the average IM level of each samples, it can be concluded that the contact surface degradation does cause higher intermodulation. The un-degraded connectors’ exhibit low-intermodulation characteristics and the individual differences between samples are comparatively small, as shown by the red solid lines in Fig. 11(a) and b). The IM powers of the corresponding degraded connectors increase and exhibit large individual differences due to the random nature of the accelerated degradation process. The roughness and film thickness change in an unpredictable fashion during the corrosion processing, which is problematic for an accurate quantitative analysis of the degraded connectors. As defined earlier, λ is the ratio of contamination area and total area. In order to determine the value of λ in a visual inspection, microscopic observation of the degraded connectors was performed. Since the materials of the pin and receptacle are the same and it is easier to inspect the pin than the receptacle, this paper focuses on the surface of the pin. Five inner conductors (pins) with the same material were subjected to the accelerated corrosion test and visually inspected, with the associated acceleration time and microcosmic images shown in Table III. Sample 1 was exposed for 0.5 h and
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Theoretical predicted curves of PIM with different contaminations. TABLE IV P REDICTED AND M EASURED D ATA OF IM5 P OWERS FOR D EGRADED C ONNECTORS (U NIT: dBm)
Fig. 11. Power of (a) IM3 and IM5 (b) for un-degraded and degraded DUTs. U1–U5 represent five un-degraded samples while D1–D5 represent these five samples after the accelerated test. TABLE III A CCELERATED T IME AND SEM I MAGES OF F IVE I NNER C ONDUCTORS FOR C ONTAMINATION D EGREE E STIMATION
the surface was only slightly changed as compared with the pristine surface, but micropores are observed on the corroded surface. For samples 2 and 3, the corrosion products grew
from the micropores and the surface was quickly covered with corrosion products. For samples 4 and 5, the growth rate of corrosion decreases, but more contaminants are observed to build up on the surface. For this paper, all connector samples were exposed to the nitric vapor for 1 h. Considering the individual differences, the λ value was determined to be 40% within a moderate range of variation. Based on the equivalent impendence model for degraded connectors, the voltage drop between contaminated surfaces could be obtained using (10). Degraded contact surfaces do cause dissipated power across the contaminant. As connector degrades, the surface becomes dirty and the contact resistance is sharply increased, which becomes the main PIM sources. The increased resistance causes electrothermal effects at the contact junction, which is best described by the hyperbolic tangent model, with resistance continually increasing with increases in voltage. The ninth-order polynomial model provides superior results only for the un-degraded condition. For the degraded connectors, the theoretical prediction curves of the PIM with different degrees of degradation are shown in Fig. 12 for the hyperbolic tangent model. The increasing value of λ means that the contaminated area is increasing and the actual contact area is decreasing. Contact resistance increased and capacitance also increased due to the decreasing real contact area. The contact junction impedance also increased. Consequently, the voltage drop of the connection is increased. According to the I –V model, the IM current increased with the increasing voltage, and the power of the IM products increased. According to the experimental results, the IM3 power of five degraded connectors varies approximately between −65 and −70 dBm. The degree of degradation is expressed as index λ, which can be obtained by the model of degraded
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: MODELING OF PASSIVE INTERMODULATION WITH ELECTRICAL CONTACTS IN COAXIAL CONNECTORS
contact surface. Consequently, the value of λ for degraded connector is approximately between 30% and 50%. IM5 power can be mathematically predicted, and the range of predicted IM5 power is from −78 to −87 dBm for the corresponding degree of degradation. From Fig. 11(b), the measured IM5 power is close to the predicted values. The power of IM5 for five samples is listed in Table IV. Therefore, once the ratio of the contaminated area and total area is determined, the model can accurately predict the IM power V. C ONCLUSION The objective of this paper was to explore the characteristics of PIM generated by the contact surface of the coaxial connectors. This approach is based on the surface analysis and nonlinear I –V modeling of the contact junction in n-type connectors. Equivalent circuit models for the contact junction coupled with the GW surface model were described and analyzed. Numerical simulations were performed to determine the influence of surface parameters, such as roughness and contact pressure, on the resistance and capacitance characteristics for various circuit models and on the impedance for different degradation levels. Nonlinear I –V relation models of the contact junction coupled with a novel proposed method for solving higher polynomial coefficients was compared and discussed to explain the observed conduction mechanisms. The results are used to theoretically describe the characteristics of IM3 and IM5 on the power dependent. A series of experiments was conducted to verify the models. The model predictions correlate well with the experimentally observed features of the power dependence of PIM over the entire power range that was considered. The method for solving polynomial coefficients enables the prediction of higher order intermodulation products. Most importantly, it was found that un-degraded connectors exhibit a lowintermodulation interference, while the IM power of degraded connectors increases and exhibits large individual differences between connector samples due to the degradation on the contact surface. Once the degradation level is determined, the IM power of the degraded connecters can be effectively predicted. R EFERENCES [1] A. Shitvov, A. G. Schuchinsky, M. B. Steer, and J. M. Wetherington, “Characterisation of nonlinear distortion and intermodulation in passive devices and antennas,” in Proc. 8th EuCAP, Apr. 2014, pp. 1454–1458. [2] A. P. Shitvov, D. E. Zelenchuk, A. G. Schuchinsky, and V. F. Fusco, “Passive intermodulation generation on printed lines: Near-field probing and observations,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3121–3128, Dec. 2008. [3] X.-H. Lin, Y. Zou, S.-M. Xie, X.-P. Zhang, and H.-F. Wu, “An advanced test system for the passive intermodulation measurement of POI,” in Proc. IEEE APEMC, May 2016, pp. 341–343. [4] D. S. Kozlov, A. P. Shitvov, A. G. Schuchinsky, and M. B. Steer, “Passive intermodulation of analog and digital signals on transmission lines with distributed nonlinearities: Modelling and characterization,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1383–1395, May 2016. [5] Y. Wu, Q. Jin, W. Wang, and Y. Liu, “Asymmetrical passive intermodulation distortions of memristors with mathematical behavior models,” AIP Adv., vol. 6, no. 10, p. 105305, Oct. 2016.
9
[6] R. S. Timsit, “High speed electronic connector design: A review of electrical and electromagnetic properties of passive contact elements— Part 1,” IEICE Trans. Electron., vol. E91-C, no. 8, pp. 1178–1191, Aug. 2008. [7] R. S. Timsit, “High speed electronic connectors: A review of electrical contact properties,” IEICE Trans. Electron., vol. E88-C, no. 8, pp. 1532–1545, Aug. 2005. [8] R. D. Malucci and A. P. Panella, “Wave propagation and high frequency signal transmission across contact interfaces,” in Proc. 52nd IEEE Holm Conf. Elect. Contacts, Sep. 2006, pp. 199–206. [9] J. Henrie, A. Christianson, and W. J. Chappell, “Prediction of passive intermodulation from coaxial connectors in microwave networks,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 209–216, Jan. 2008. [10] J. J. Henrie, A. J. Christianson, and W. J. Chappell, “Linear–nonlinear interaction and passive intermodulation distortion,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1230–1237, May 2010. [11] J. Henrie, A. Christianson, and W. J. Chappell, “Engineered passive nonlinearities for broadband passive intermodulation distortion mitigation,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 614–616, Oct. 2009. [12] Q. Jin, J. Gao, G. Xie, G. T. Flowers, and R. Ji, “A study of the passive intermodulation induced by nonlinear characteristics of RF connectors,” in Proc. 62nd IEEE Holm Conf. Elect. Contacts, Oct. 2016, pp. 1–8. [13] C. Vicente and H. L. Hartagel, “Passive-intermodulation analysis between rough rectangular waveguide flanges,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 8, pp. 2515–2525, Aug. 2005. [14] J. A. Greenwood and J. B. P. Williamson, “Contact of nominally flat surfaces,” Proc. R. Soc. Lond. A, Math. Phys. Sci., vol. 295, no. 1442, pp. 300–319, 1966. [15] W. W. Chen et al., “Analysis and convenient formulas for elasto-plastic contacts of nominally flat surfaces: Average gap, contact area ratio, and plastically deformed volume,” Tribol. Lett., vol. 28, no. 1, pp. 27–38, 2007. [16] J. I. McCool, “Relating profile instrument measurements to the functional performance of rough surfaces,” J. Tribol., vol. 109, no. 2, pp. 264–270, 1987. [17] R. Holm, Electric Contacts. New York, NY, USA: Springer, 1967. [18] P. Zhang, Y. Y. Lau, and R. S. Timsit, “On the spreading resistance of thin-film contacts,” IEEE Trans. Electron Devices, vol. 59, no. 7, pp. 1936–1940, Jul. 2012. [19] J. A. Stratton, Electromagnetic Theory. Hoboken, NJ, USA: Wiley, 2007. [20] P. Bolli, S. Selleri, and G. Pelosi, “Passive intermodulation on large reflector antennas,” IEEE Antennas Propag. Mag., vol. 44, no. 5, pp. 13–20, Oct. 2002. [21] Q. Yu, “PIM performance of RF components—A growing concern for RF compliance testing of large wireless MIMO transmitters,” in Proc. IEEE EMC, Jul. 2016, pp. 553–558. [22] G. Macchiarella and A. Sartorio, “Passive intermodulation in microwave filters: Experimental investigation,” in IEEE MTT-S Int. Microw. Symp. Dig. WMB-7 Filter II Workshop, Long Beach, CA, USA, Jun. 2005. [Online]. Available: http://maxwell.uwaterloo.ca/~myu/ publications/WMB-7-color-Macchiarella.pdf [23] J. Russer, A. Ramachandran, A. Cangellaris, and P. Russer, “Phenomenological modeling of passive intermodulation (PIM) due to electron tunneling at metallic contacts,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1129–1132. [24] J. G. Simmons, “Generalized formula for the electric tunnel effect between similar electrodes separated by a thin insulating film,” J. Appl. Phys., vol. 34, no. 6, pp. 1793–1803, Jan. 1963. [25] B. Rosenberger, “The measurement of intermodulation products on passive components and transmissionlines,” in IEEE 50th ARFTG Conf. Dig.-Fall, Dec. 1997, pp. 13–22.
Qiuyan Jin (M’16) received the B.Sc. degree in communication engineering from the Minzu University of China, Beijing, China, in 2014. She is currently pursuing the Ph.D. degree in electronic engineering at the Beijing University of Posts and Telecommunications, Beijing. Her current research interests include passive intermodulation, radio frequency device reliabilities, and high-frequency electrical contacts.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Jinchun Gao (A’05–M’16) received the B.S. and M.S. degrees from the Beijing University of Chemical Technology, Beijing, China, and the Ph.D. degree in electronic circuits and systems from the Beijing University of Posts and Telecommunications, Beijing. She is currently a Professor of electronic engineering with the Beijing University of Posts and Telecommunications. Her current research interests include electrical contact reliabilities and wireless communications.
George T. Flowers received the B.S.M.E. degree from Auburn University, Auburn, AL, USA, in 1984, and the M.S.M.E. and Ph.D. degrees from the Georgia Institute of Technology, Atlanta, GA, USA, in 1985 and 1988, respectively. He is currently a Professor with the Department of Mechanical Engineering, Auburn University, and the Dean of the Graduate School. His current research interests include dynamics, vibration, and control electronic packaging, MEMS devices, and rotating machineries. Dr. Flowers is a Fellow of the American Society of Mechanical Engineering.
Yongle Wu (M’12–SM’15) received the B.Eng. degree in communication engineering and the Ph.D. degree in electronic engineering from the Beijing University of Posts and Telecommunications (BUPT), Beijing, China, in 2006 and 2011, respectively. In 2010, he joined the City University of Hong Kong (CityU), Hong Kong, as a Research Assistant. In 2011, he joined the BUPT. He is currently a Full Professor with the School of Electronic Engineering, BUPT. His current research interests include microwave components and wireless system designs.
Gang Xie received the Ph.D. degree from the Beijing University of Posts and Telecommunications, Beijing, China, in 2007. He is currently an Associate Professor of information and communication engineering with the Beijing University of Posts and Telecommunications. His current research interests include wireless communication and signal processing.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Design of a Class of Filtering Couplers With Reconfigurable Frequency Feng Lin , Member, IEEE, and Hongzhong Ma
Abstract— This paper reports on, for the first time, the design of filtering couplers with reconfigurable frequency. The reconfigurable filtering transmission lines (RFTLs) with 90° or −90° phase shift are first designed, and then the reconfigurable filtering couplers are constructed by substituting the basic unit of quarterλ/4) transmission line in the conventional couplers wavelength (λ with the proposed RFTL. The tunable capacitor loaded halfλ/2) resonators are used to tune the center frequency wavelength (λ of RFTL. By properly controlling the electric or magnetic coupling between the resonators, the phase shift of 90° or −90° of the RFTLs can be realized, respectively, while maintaining a near constant bandwidth. The external coupling along with a pair of transmission zeros is achieved by asymmetric tap feeding of the RFTLs. For verification, a class of frequencyreconfigurable filtering couplers is designed and fabricated. First, a reconfigurable filtering rat-race coupler is designed and fabricated. The measured center frequency is tuned from 700 to 1840 MHz while maintaining a 3-dB fractional bandwidth of 13% ± 0.5%/13.7% ± 0.6%, insertion loss of 8.1–6.9/8.3–5.9 dB, return loss of better than 13 dB, and isolation of better than 21 dB. Second, a reconfigurable filtering quadrature coupler is designed and fabricated. The measured center frequency is tuned from 947 to 1775 MHz while maintaining a 1-dB bandwidth of 75 ± 5/65 ± 10 MHz, insertion loss of 8.3–6.9/8.3–7.1 dB, return loss of better than 14 dB, and isolation of better than 14 dB. Index Terms— Couplers, filtering coupler, filters, quadrature couplers, rat-race couplers, reconfigurable frequency, varactor diode.
I. I NTRODUCTION
T
HE ever-increasing demand for wireless services has been pushing the communication systems toward multifrequency and multistandard operation, which makes the radio spectrum overcrowded [1]. To efficiently utilize the radio spectrum, reconfigurable systems become more and more used, due to enough flexibility and easy extension for new usage scenarios. As the key components of the RF frontend, couplers, and filters are in increasing demand of being low loss, widely tunable, low power, small size, fast, and linear. In the past years, many efforts were carried out to design various kinds of reconfigurable couplers. Basically,
Manuscript received September 6, 2017; revised January 23, 2018; accepted May 14, 2018. This work was supported by the National Natural Science Foundation of China under Grant 61601026. (Corresponding author: Feng Lin.) The authors are with the School of Information and Electronics, Beijing Institute of Technology, Beijing 100081, China (e-mail:
[email protected];
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2842755
Fig. 1. (a) Fully reconfigurable phased array with a reconfigurable filtering beam-forming network. (b) Schematic of a reconfigurable coupler cascaded with two reconfigurable filters. (c) Schematic of an integration design of reconfigurable filtering coupler.
the reconfigurable couplers can be classified into two basic kinds. One class is the coupler with reconfigurable powerdividing ratio [2]–[5]. These couplers were designed as a kind of special structures, which adopt varactor diodes to simultaneously realize continuous tuning and good matching and isolation. The other class is the coupler with reconfigurable operating frequency [6]–[10]. In [6], the two operating states were realized by using 12 MEMS switches to select different couplers with fixed frequency. In [7], MEMS digital tunable capacitors were shunted at the ports of couplers to tune the frequency. However, the frequency tuning range is limited because the isolation and return loss performance deteriorates when changing the MEMS capacitances too much. By using varactors and novel active inductors or three groups of varactors, quadrature couplers with reconfigurable frequency and power-dividing ratio were realized in [8]–[10]. On the other hand, size miniaturization of couplers is of critical importance in the RF frontend. Many structures were reported to reduce the coupler size [11], [12]. To further reduce the system size, integration design of RF/microwave com-
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
ponents is in increasing demand. For example, the codesign of filtering coupler provides the benefits of miniaturization, low loss, and low cost of RF modules. The approaches of designing filtering coupler can be divided into two categories. One approach is based on transmission lines with harmonic suppression [13], [14], which are of low-pass response. The second approach is to replace the λ/4 transmission lines with coupled resonators [15]–[20], which can easily achieve bandpass response and power dividing, simultaneously. In [20], by using tunable coupled resonators, a tunable filtering power divider with constant absolute bandwidth was first proposed. To the best of the authors’ knowledge, there is no published paper on filtering couplers with reconfigurable frequency. Fig. 1(a) shows a fully reconfigurable RF frontend in a passive phased array, where the reconfigurable filtering beam-forming network can be realized by filtering couplers with reconfigurable frequency for applications in wideband re-configurable radio systems, such as dynamic spectral access [21]. A configuration of traditional reconfigurable filtering coupler is shown in Fig. 1(b), which consists of a frequency-reconfigurable coupler and two frequency-reconfigurable filters. However, the cascaded design of filtering couplers will increase the entire circuit size and loss. Specially, the circuit loss will dramatically increase when applying to millimeter-wave circuit design, because it needs bonding wires to connect couplers and filters, which are in different package types. Thus, it is an urgent requirement for a simple and effective design method for reconfigurable filtering coupler. Fig. 1(c) shows a diagram of reconfigurable filtering coupler, which integrates the function of coupler and filter in one device with tunable operating frequency, simultaneously. To realize widely reconfigurable couplers with compact size and low loss, the reconfigurable filtering transmission lines (RFTLs) with 90° or −90° phase shift are utilized to design a class of filtering couplers with reconfigurable frequency. The detailed design procedures of the proposed RFTLs including the coupling mechanism, phase shift control, and the calculation of external quality factors and coupling coefficients are presented in Section II. For verification, a reconfigurable filtering rat-race coupler with constant fractional bandwidth and a reconfigurable filtering quadrature coupler with constant absolute bandwidth were designed, fabricated, and measured. The simulation and measured results show good agreement. II. D ESIGN T HEORY OF R ECONFIGURABLE F ILTERING C OUPLERS A. Circuit Topologies of the Couplers With Reconfigurable Filtering Transmission Lines The basic unit of the conventional couplers and power dividers is the λ/4 transmission line. To realize the filtering operation with reconfigurable frequency, the straightforward method is to substitute the conventional λ/4 transmission lines with the RFTLs with 90° or −90° phase shift. Thus, the most critical part in the realization of reconfigurable filtering couplers is the RFTL. Fig. 2(a) and (b) shows the conceptual circuits of the proposed reconfigurable filtering couplers with RFTLs, which consist of reconfigurable λ/4
Fig. 2. (a) Reconfigurable rat-race coupler with RFTLs. (b) Reconfigurable quadrature coupler with RFTLs. (c) Schematic of the reconfigurable filtering couplers with coupled-resonator network.
transmission line and two reconfigurable parallel LC resonators. Based on the theory in [15], with the assumption of narrowband application, the reconfigurable λ/4 transmission line (Z c1 , Z c2 , Z c3 , and Z c4 ) can be approximately equivalent to a K -inverter connected by two parallel resonators, and the value of |K | is determined by the characteristic impedance of transmission line. Furthermore, the K -inverter connected with two parallel resonators can be realized by two resonators with electric or magnetic couplings. Finally, the schematic of the reconfigurable filtering couplers with coupled-resonator network is shown in Fig. 2(c). For a rat-race coupler [see Fig. 2(a)], the relationship between coupling coefficients and external quality factor Q e is given as in the following equation [15]:
Cr + 1 Z0 Z c2 = Z 0 Cr + 1 (1a) Cr 1 Cr 1 1 = k34 = k14 = −k23 = √ Cr + 1 Q e Cr + 1 Q e (1b)
Z c1 = k12
where Cr is the power-dividing ratio of Port2/Port4. Assuming the isolation (i.e., S21 = 0) is required, for a quadrature coupler [see Fig. 2(b)], the coupling coefficients can also be expressed
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN AND MA: DESIGN OF CLASS OF FILTERING COUPLERS
3
in terms of Q e as shown in [15] Z0 Z c3 = Cr k12 = k34
Z c4 =
Cr = Qe
Z0 Cr + 1
k14 = k23
(2a) Cr + 1 = Qe
(2b)
where Cr is the power-dividing ratio of Port3/Port4. The value of external quality factor Q e can be evaluated in terms of fractional bandwidth FBW g0 g1 (3) Qe = FBW where g0 and g1 are the lumped circuit elements of the secondorder Butterworth low-pass prototype filters. To achieve a reconfigurable filtering response, the coupled-resonator network of the reconfigurable filtering coupler in Fig. 2(c) should satisfy the coupling coefficient (k12 and k14 ) and external quality factor (Q e ) conditions (1)–(3) across the entire tuning range. B. Coupling Mechanism of the RFTLs Fig. 3 discusses the phase shift characteristic of the two kinds of RFTLs. Fig. 3(a) and (b) shows the proposed two types of RFTLs with 90° and −90° phase shift along with its corresponding working principle diagram, respectively. The 90° and −90° phase shifts are realized by two coupled capacitor-tunable λ/2 resonators with electric and magnetic coupling, respectively. Tunable λ/2 transmissionline resonators are used to construct the working principle diagram of RFTL. Thus, the length fits the following formula: θ1 + θ2 = 180°.
(4)
The coupled line with electric and magnetic coupling can be modeled as an admittance inverter with −90° and 90° phase shift placed between two transmission lines [22]. For the RFTL with electric coupling [see Fig. 3(a)], the admittance inverter realizes a phase shift of −90°. Then, the phase shift between Ports 1 and 2 is 90° (θ2 −90+θ1 ). Fig. 3(c) presents the simulated S-parameters of the RFTL with electric coupling from 0.7 to 1.8 GHz. It can be seen that at the center frequency, the phase shift is ∼90° across the entire tuning range, which demonstrates the RFTL’s property. For the RFTL with magnetic coupling [see Fig. 3(b)], the admittance inverter realizes a phase shift of 90°. Then, the phase shift between Ports 1 and 2 is −90° (θ2 + 90 + θ1 ). Fig. 3(d) presents the simulated S-parameters of the RFTL with magnetic coupling from 0.7 to 1.8 GHz. It can be seen that at the center frequency, the phase shift is ∼−90° across the entire tuning range, which demonstrates the RFTL’s property. Fig. 4 shows the equivalent circuit of λ/2 resonator loaded with two the same tunable capacitors Ct , which are used to tune the resonance frequency. The input admittance YRin of the resonator (labeled in Fig. 4) can be expressed as j ωCt + j Y1 tan θ1 j ωCt + j Y2 tan θ4 + Y2 (5) Y1 − ωCt tan θ1 Y2 − ωCt tan θ4 where θ4 = θ1 + θ2 + θ3 . To satisfy the resonance condition of Im[YRin (ω0 )] = 0 across the entire tuning range, the YRin = Y1
Fig. 3. (a) Circuit model of the RFTL with 90° phase shift and its working principle diagram. (b) Circuit model of the RFTL with −90° phase shift and its working principle diagram. (c) Simulated S-parameters of the RFTL with 90° phase shift. (d) Simulated S-parameters of the RFTL with −90° phase shift.
relationship between the resonance frequency and the tunable capacitor can be obtained by Ct =
1 2Y1 Y2 − Y12 + Y22 tan θ1 tan θ4 2ω0 (Y2 tan θ1 + Y1 tan θ4 ) 2 + 4Y12 Y22 sec2 θ4 +tan2 θ1 4Y12 Y22 + Y12 +Y22 tan2 θ4 (6)
where ω0 is the resonant angular center frequency.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 4.
Tunable capacitor loaded half-wavelength resonator in the RFTL.
Fig. 5.
Circuit model of the resonator with external coupling circuit.
C. External Quality Factor of the RFTLs To obtain the required external quality factor Q e , one can carefully design the resonator parameters and tune the position of feed line. To simplify the analysis of Q e , the coupling effect of adjacent resonators is neglected. Fig. 5 shows the approximate model of the resonator with external tap feeding. The required Q e can be achieved by adjusting the values of θ1 , θ2 , θ3 , Y1 , and Y2 . The input admittance Yin seen from Port 1 (labeled in Fig. 5) can be derived as YL1 + j Y2 tan θ3 j ωCt + j Y2 tan(θ1 +θ2) +Y2 Y2 + j YL1 tan θ3 Y2 −ωCt tan(θ1 +θ2 ) j ωCt + j Y1 tan θ1 = Y1 . Y1 − ωCt tan θ1
Yin = Y2
(7a)
YL1
(7b)
To maintain a constant bandwidth, the external quality factor Q e should satisfy [23] b ω0 ∂Im[Yin (ω)] g0 g1 . (8) = Qe = ω = ω0 = Y0 2Y0 ∂ω FBW The desired Q e of RFTLs with constant bandwidth is synthesized by using (8). By changing the parameters of the transmission line and feeding position (θ1 , θ2 , θ3 , Y1 , and Y2 in Fig. 5), Q e can be synthesized with different values. To realize a quadrature coupler with constant 1-dB absolute bandwidth of 70 MHz (port admittance Y0 = 1/50 −1 ), choosing this configuration (θ1 = 30°, θ2 = 6°, θ3 = 4°, Y1 = 1/27 −1 , Y2 = 1/83 −1 at 1.35 GHz), the required and calculated Q e versus different resonance frequencies are plotted in Fig. 6(a). To realize a rat-race coupler with constant 3-dB fractional bandwidth of 13% (Y0 = 1/50 −1 ), using this configuration (θ1 = 28°, θ2 = 13°, θ3 = 1°, Y1 = 1/35 −1 , Y2 = 1/103 −1 at 1.25 GHz), the required and calculated Q e versus different resonance frequencies are plotted in Fig. 6(b). D. Coupling Coefficient of the RFTLs Once the external quality factor is fixed, the next step is to design the coupling structure to obtain the required coupling coefficients. Fig. 7 shows the circuit models of the proposed RFTLs with different coupling structures of 90° or −90° phase shift. Once the parameters of θ1 , θ2 , θ3 , Y1 , and Y2 are determined, the coupling coefficients can be achieved only by adjusting the coupling of asymmetrical coupled lines
Fig. 6. Desired and achieved Q e of the RFTLs for different couplers and bandwidth. (a) Quadrature coupler with 1-dB bandwidth of 70 MHz. (b) Rat-race coupler with 3-dB bandwidth of 13%.
1 and 2. The asymmetrical coupled line is assumed to be homogeneous to simplify the calculation, and the even- and old-mode admittances of coupled lines are defined by Yea and Yoa for line 1 and Yeb and Yob for line 2. The Y -matrix Yc of asymmetrical coupled line is given in [24] and [25] Yea + Yoa cot θ1 2 Yea − Yoa cot θ1 = Y34 = Y43 = − j 2 Yea − Yoa csc θ1 = Y24 = Y42 = j 2 Yea + Yoa = j csc θ1 2 Yeb + Yob cot θ1 = −j 2 Yeb + Yob csc θ1 . = j 2
Y11 = Y44 = − j Y12 = Y21 Y13 = Y31 Y14 = Y41 Y22 = Y33 Y23 = Y32
(9)
The same method can be used to calculate the coupling coefficients of RFTLs in Fig. 7(a) and (b). The Y -matrix Yt of the top two-port block is first derived as
−It3 −It4
=
Yt11 0
0 Yt22
V3 . V4
(10)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN AND MA: DESIGN OF CLASS OF FILTERING COUPLERS
5
Furthermore, the Y -matrix Yb of the bottom two-port block can be derived as Ib1 0 Yb11 V1 = . (14) 0 Yb22 Ib2 V2 For the RFTL with electric coupling [Fig. 7(a)], Yb11 and Yb22 are YL2 + j Y2 tan(θ2 + θ3 ) Yb11 = Y2 Y2 + j YL2 tan(θ2 + θ3 ) j ωCt + j Y1 tan θ1 (15a) YL2 = Y1 Y1 − ωCt tan θ1 j ωCt + j Y2 tan(θ1 + θ2 + θ3 ) Yb22 = Y2 . (15b) Y2 − ωCt tan(θ1 + θ2 + θ3 ) For the RFTL with magnetic coupling [Fig. 7(b)], Yb11 and Yb22 are YL3 + j Y2 tan(θ2 + θ3 ) Y2 + j YL3 tan(θ2 + θ3 ) j ωCt + j Y1 tan θ1 = Y1 Y1 − ωCt tan θ1 = j ωCt .
Yb11 = Y2 YL3 Yb22
(16a) (16b)
Finally, the Y -matrix YR of RFTLs in Fig. 7(a) and (b) is calculated as YR11 YR12 Yct12 Yct11 +Yb11 = . (17) YR = YR21 YR22 Yct21 Yct22 +Yb22
Fig. 7. Circuit models of two capacitor-tuned resonators. (a) Electric coupling. (b) Magnetic coupling.
For the RFTL with electric coupling [Fig. 7(a)], Yt11 and Yt22 are Yt11 = Yt22 = j ωCt .
(11)
For the RFTL with magnetic coupling [Fig. 7(b)], Yt11 and Yt22 are j ωCt + j Y2 tan(θ1 + θ2 + θ3 ) Yt11 = Y2 (12a) Y2 − ωCt tan(θ1 + θ2 + θ3 ) Yt22 = j ωCt . (12b) Then, the Y -matrix Yct of the two-port circuit network including the coupled line and top block can be derived as Yct11 Yct12 V1 It1 = (13a) It2 Yct21 Yct22 V2 where
Yct11 Yct12 Yct21 Yct22 ⎤ ⎡ mY13 + pY14 nY13 +qY14 Y + + Y 12 l ⎥ ⎢ 11 l =⎣ ⎦ (13b) mY23 + pY13 nY23 +qY13 Y22 + Y12 + l l 2 − Y11 Yt11 − Y11 Y22 − Yt11 Yt22 − Y22 Yt22 l = Y12 m = Y11 Y13 + Y13 Yt22 − Y12 Y14 n = Y11 Y23 − Y12 Y13 + Y23 Yt22 p = Y14 Yt11 − Y12 Y13 + Y14 Y22 q = Y13 Yt11 + Y13 Y22 − Y12 Y23 .
(13c)
To achieve a constant bandwidth, the Y -matrix of the RFTLs in Fig. 7(a) and (b) should satisfy the resonance condition Im[YR11(ω0 )] = 0 and the following coupling coefficient k12 conditions across the entire tuning range [18]: 1 Im[YR21 (ω0 )] = b z Q c e ω0 ∂Im[YR11 (ω)] b= ω = ω0 2 ∂ω
k12 =
(18a) (18b)
where z c is the normalized equivalent characteristic impedance of RFTL. To achieve a 3-dB quadrature coupler with constant 1-dB absolute bandwidth of 70 MHz, choosing configurations (Yea = 1/120 −1 , Yoa = 1/69 −1 , Yeb = 1/28 −1 , Yob = 1/16 −1 , Y1 = 1/27 −1 , Y2 = 1/83 −1 , θ1 = 30° and θ2 + θ3 = 10° at 1.35 GHz) and (Yea = 1/115 −1 , Yoa = 1/73 −1 , Yeb = 1/26 −1 , Yob = 1/19 −1 , Y1 = 1/27 −1 , Y2 = 1/83 −1 , θ1 = 30°, and θ2 + θ3 = 10° at 1.35 GHz) for the RFTL with electric coupling [Fig. 7(a)] and characteristic impedances of 35.4 and 50 , respectively, and the required and calculated coupling coefficients versus different resonance frequencies are plotted in Fig. 8(a) and (b). To achieve a 3-dB rat-race coupler with constant 3-dB fractional bandwidth of 13%, choosing configurations (Yea = 1/152 −1 , Yoa = 1/84 −1 , Yeb = 1/38 −1 , Yob = 1/21 −1 , Y1 = 1/35 −1 , Y2 = 1/103 −1 , θ1 = 28° and θ2 + θ3 = 14° at 1.25 GHz) and (Yea = 1/142 −1 , Yoa = 1/90 −1 , Yeb = 1/37 −1 , Yob = 1/24 −1 , Y1 = 1/35 −1 , Y2 = 1/103 −1 , θ1 = 28°, and θ2 +θ3 = 14° at 1.25 GHz) for the RFTL with characteristic impedance of 70.7 and electric coupling [Fig. 7(a)] and magnetic
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 9. Desired and achieved coupling coefficient k12 of the RFTL with magnetic coupling for characteristic impedance of 70.7 with bandwidth of 70 MHz.
Fig. 8. Desired and achieved coupling coefficients k12 of the RFTLs with electric coupling for different characteristic impedances and bandwidth. (a) 35.4 with bandwidth of 70 MHz. (b) 50 with bandwidth of 70 MHz. (c) 70.7 with bandwidth of 13%.
Fig. 10. Architectures and coupling schematics of the proposed reconfigurable filtering couplers with RFTLs. (a) Rat-race coupler. (b) Quadrature coupler.
coupling [Fig. 7(b)], respectively, the required and calculated coupling coefficient versus different resonance frequencies are plotted in Figs. 8(c) and 9. As shown in Figs. 8 and 9, by selecting appropriate evenand odd-mode characteristic impedances (Yea , Yoa , Yeb , Yob ) of the coupled lines, the required coupling coefficients to maintain a constant absolute bandwidth from 0.9- to 1.8-GHz frequency range and a constant fractional bandwidth from 0.7- to 1.8-GHz frequency range can be achieved.
Step 1: Given the specifications including the power-dividing ratio, frequency tuning range, and fractional bandwidth FBW, calculate the desired external quality factors Q e and coupling coefficients k12 and k14 using (1)–(3). Step 2: Select the values of Y1 and Y2 in advance, and then synthesize the Q e by choosing proper electrical lengths of θ1 , θ2 , and θ3 according to (8). Step 3: Synthesize the coupling coefficients k12 and k14 by optimizing even- and old-mode characteristic admittance Yea , Yoa , Yeb , Yob according to (18) with the given admittance values of Y1 and Y2 and the electrical lengths of θ1 , θ2 , and θ3 . If the calculated
E. Design Procedure of Reconfigurable Filtering Couplers The design procedure of reconfigurable filtering couplers with the proposed RFTLs is summarized as follows.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN AND MA: DESIGN OF CLASS OF FILTERING COUPLERS
7
Fig. 11. (a) Layout of the reconfigurable filtering rat-race coupler. (b) Image of a fabricated reconfigurable filtering rat-race coupler.
coupling coefficients cannot meet the requirement, go back to Step 2 and adjust Y1 and Y2 . Step 4: The ideal transmission-line models of the proposed reconfigurable filtering couplers and their coupling schematics are shown in Fig. 10. Run circuit simulation to further optimize the design parameters. Step 5: With the initial parameters from step 4, use the fullwave commercial electromagnetic software advanced design system (ADS) to take all parasitic effects into account and optimize the design. III. D ESIGN OF R ECONFIGURABLE F ILTERING R AT-R ACE C OUPLER W ITH A C ONSTANT F RACTIONAL BANDWIDTH A. Reconfigurable Filtering Rat-Race Coupler Design and Its Realization Fig. 10(a) shows the configuration of the proposed filtering rat-race coupler with reconfigurable frequency. It consists of four RFTLs with electric or magnetic coupling. The required electric or magnetic coupling coefficients between resonators and center frequency tuning are realized by tuning the varactors Ct , simultaneously. To validate the design method, a 3-dB reconfigurable filtering rat-race coupler tuning from 0.7 to 1.84 GHz was designed with a 3-dB fractional bandwidth of 13% based on a low-pass Butterworth prototype. Following the design procedure in Section II-E, to achieve the required coupling coefficients, the resonator parameters are calculated according to (8) and (18) as follows:
Fig. 12. Simulated and measured results of the reconfigurable filtering rat-race coupler. (a) |S11 |. (b) |S21 |. (c) |S31 |. (d) |S41 |. (e) |S23 |. (f) |S43 |.
Yea1 = 1/152 −1 , Yoa1 = 1/84 −1 , Yeb1 = 1/38 −1 , Yob1 = 1/21 −1 , Yea2 = 1/142 −1 , Yoa2 = 1/90 −1 ,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 13. Measured phase differences of the reconfigurable filtering rat-race coupler. (a) In-phase output. (b) Out-of-phase output.
Yeb2 = 1/37 −1 , Yob2 = 1/24 −1 , Y1 = 1/35 −1 , Y2 = 1/103 −1 , θ1 = 28°, and θ2 + θ3 = 14° at 1.25 GHz. The design parameters of feed line are obtained according to the Q e curves in Fig. 6. The reconfigurable filtering ratrace coupler was fabricated on a Rogers RT/Duroid 5880 with εr = 2.2 and h = 0.787 mm. Fig. 11(a) shows the final layout of the reconfigurable filtering rat-race coupler. Final dimensions of the reconfigurable filtering rat-race coupler are as followings: W0 = 2.2, W1 = 0.3, W2 = 2, W3 = 1.2, L 1 = 10, L 2 = 5.2, L 3 = 1.97, L 4 = 7.25, L 5 = 7.3, L 6 = 2.53, L 7 = 4.98, S1 = 0.32, and S2 = 0.4 (all in mm). The dc blocking capacitors Cblock (100 pF) are realized by ATC 600S series capacitors. The varactors Ct are implemented by MA46H202 GaAs diodes (Ct = 0.86–8 pF, Rs = 1 in simulation). The bias circuit for Ct is realized using one 180-k resistor (Rbias ). Device models for varactors were incorporated in Agilent Technologies 2011 ADS tool to simulate the filter response taking into account all parasitic effects. When continuously tuning the capacitor Ct from 0.86 to 8 pF, the center frequency is tuned from 1.84 to 0.7 GHz. The measured results are performed by using a Rohde & Schwarz ZNB 40 vector network analyzer over the frequency range from 0.5 to 2.5 GHz. Fig. 11(b) shows an image of a fabricated reconfigurable filtering rat-race coupler. The reconfigurable filtering coupler area is 0.19 λg × 0.18 λg . (λg is the guided wavelength at lowest center frequency f0 .) B. S-Parameter Response Fig. 12 shows the simulated and measured performance of the fabricated filtering rat-race coupler. These results include the return loss, insertion loss, and port isolation as a function of the tuning parameter of Ct (tuned by Vbias). As shown, measurements and simulations agree with each other. The center frequency is tuned from 700 to 1840 MHz for a Ct bias voltage of 1.5–15 V. The measured return loss and isolation are both better than 13 and 21 dB for all states, respectively.
Fig. 14. Simulated and measured insertion loss and bandwidth of the filtering rat-race coupler versus tuned frequency. (a) Simulated |S21 | and |S41 |. (b) Measured |S21 | and |S41 |. (c) Simulated |S23 | and |S43 |. (d) Measured |S23 | and |S43 |.
Notice that a pair of tunable transmission zeros located close to either side of the passband is due to the length from the end of the tunable capacitor loaded λ/2 resonator to the tap position, which is quarter wavelength at these frequencies to create a virtual ground. Fig. 13 shows the measured phase differences of the fabricated filtering rat-race coupler. The measured phase imbalances at the center frequency are smaller than 5° across the entire tuning range. Fig. 14 presents the simulated and measured insertion loss and 3-dB bandwidth. For the in-phase output mode, the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN AND MA: DESIGN OF CLASS OF FILTERING COUPLERS
9
Fig. 15. (a) Layout of the reconfigurable filtering quadrature coupler. (b) Image of a fabricated reconfigurable filtering quadrature coupler.
measured insertion loss and 3-dB bandwidth of |S41 | (|S21 |) are 8.2–5.2 dB (8.2–5 dB) and 13.5 ± 1.1% (13.5 ± 1.2%), respectively. While for the out-of-phase output mode, the measured insertion loss and 3-dB bandwidth of |S43 | (|S23 |) are 8.1–6.9 dB (8.3–5.9 dB) and 13.5 ± 0.5% (13.7 ± 0.6%), respectively. The measured insertion loss is larger than simulated one by 1.3 dB at the worst case. The measured results indicate that the nearly constant fractional 3-dB bandwidth has been achieved with a slight deviation. The small discrepancies between the simulation and measured results are mainly attributed to the inconsistency of C–V characteristic curves of the varactors, which affects the coupling coefficients between the resonators. Fig. 14 shows that the tuning range is mainly limited by the amplitude imbalance performance at the high frequency. IV. D ESIGN OF R ECONFIGURABLE F ILTERING Q UADRATURE C OUPLER W ITH A C ONSTANT A BSOLUTE BANDWIDTH A. Reconfigurable Filtering Quadrature Coupler Design and Its Realization Fig. 10(b) shows the configuration of the proposed filtering quadrature coupler with reconfigurable frequency. It consists of four RFTLs with electric coupling. The required electric coupling coefficients between resonators and center frequency tuning are realized by tuning the varactors Ct , simultaneously. To validate the design method, a 3-dB reconfigurable filtering quadrature coupler tuning from 947 to 1775 MHz was designed with a 1-dB absolute bandwidth of 70 MHz
Fig. 16. Simulated and measured results of the reconfigurable filtering quadrature coupler. (a) |S11 |. (b) |S21 |. (c) |S31 |. (d) |S41 |.
based on a low-pass Butterworth prototype. Following the design procedure in Section II-E, to achieve the required coupling coefficients, the resonator parameters are calculated according to (8) and (18) as follows: Yea1 = 1/120 −1 , Yoa1 = 1/69 −1 , Yeb1 = 1/28 −1 , Yob1 = 1/16 −1 , Yea2 = 1/115 −1 , Yoa2 = 1/73 −1 , Yeb2 = 1/26 −1 , Yob2 = 1/19 −1 , Y1 = 1/27 −1 , Y2 = 1/83 −1 , θ1 = 30°, and θ2 + θ3 = 10° at 1.35 GHz. The design parameters of feed line are obtained according to the Q e curves in Fig. 6. The reconfigurable filtering quadrature coupler was fabricated on a Rogers RT/Duroid 5880 with εr = 2.2 and h = 0.508 mm. Fig. 15(a) shows the final layout of the reconfigurable filtering quadrature coupler. Final dimensions of the reconfigurable filtering coupler are as followings: W0 = 1.55, W1 = 0.35, W2 = 2, W3 = 1.2, L 1 = 17.7, L 2 = 3, S1 = 0.29, and S2 = 0.48 (all in mm).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 17. Simulated and measured phase differences of the reconfigurable filtering quadrature coupler.
The dc blocking capacitors Cblock (100 pF) are realized by ATC 600S series capacitors. The varactors Ct are implemented by MA46H202 GaAs diodes (Ct = 0.65–3.8 pF, Rs = 1 in simulation). The bias circuit for Ct is realized using one 180-k resistor (Rbias ). Device models for varactors were incorporated in ADS to simulate the filter response taking into account all parasitic effects. When continuously tuning the capacitor Ct from 0.65 to 3.8 pF, the center frequency is tuned from 1775 to 947 MHz. The measured results are performed by using a Rohde & Schwarz ZNB 40 vector network analyzer over the frequency range from 0.5 to 2.5 GHz. Fig. 15(b) shows an image of a fabricated reconfigurable filtering quadrature coupler. The reconfigurable filtering coupler area is 0.23 λg × 0.23 λg . (λg is the guided wavelength at lowest center frequency f0 .) B. S-Parameter Response Fig. 16 shows the simulated and measured performance of the fabricated filtering quadrature coupler. These results include the return loss, insertion loss, and port isolation as a function of the tuning parameter of Ct (tuned by Vbias ). As shown, measurements and simulations agree with each other. The center frequency is tuned from 947 to 1775 MHz for a Ct bias voltage of 3.5–22 V. The measured return loss and isolation are both better than 14 dB for all states. Similar to the performance of rat-race coupler in Section III, there is a pair of tunable transmission zeros located close to either side of the passband, which can improve the selectivity of coupler. Fig. 17 shows the simulated and measured phase differences of the fabricated filtering quadrature coupler. The measured phase imbalances at the center frequency are smaller than 3° across the entire tuning range. Fig. 18 presents the simulated and measured insertion loss and 3-dB bandwidth. The measured insertion loss and 1-dB bandwidth of |S41 | (|S31 |) are 8.3–6.9 dB (8.3–7.1 dB) and 75 ± 5 MHz (65 ± 10 MHz), respectively. The measured insertion loss is larger than simulated one by 1.3 dB at the worst case. The measured results indicate that the nearly constant absolute 1-dB bandwidth has been achieved with a slight deviation. The small discrepancies between the simulation and measured results are mainly caused by the inconsistency of C–V characteristic curves of the varactors, which affects the coupling coefficients between the resonators. Fig. 18 shows that the tuning range is mainly limited by the bandwidth imbalance performance.
Fig. 18. Simulated and measured insertion loss and bandwidth for the filtering quadrature coupler versus tuned frequency. (a) Simulated |S31 | and |S41 |. (b) Measured |S31 | and |S41 |.
The simulated third-order intermodulation intercept point IIP3 of the reconfigurable filtering rat-race coupler and quadrature coupler is 19–27 dBm (0.75–1.88 GHz) and 17–20 dBm (0.93–1.78 GHz), respectively. The reconfigurable filtering couplers IIP3 and P1dB values were not measured, but our ADS modeling agrees well with measurements, as shown in [25].
C. Comparison Table I compares the measured performances of the reconfigurable filtering coupler in this paper with reported couplers. As shown, the presented reconfigurable filtering couplers offer the widest tuning range and a moderate size. The maximal insertion loss measured across the entire tuning range is 8.3 dB (including connector loss), which would be mainly attributed to the loss introduced by the tunable coupled-resonator configuration. Note that the insertion loss of the tunable filter with similar bandwidth in [25] is about 4 dB, and the typical insertion loss of a tunable coupler is about 4 dB (see Table I). Since the proposed reconfigurable couplers integrate the function of power dividing and filtering with continuous frequency tuning, simultaneously, the insertion loss of the proposed structure similar with that of the conventional coupler cascaded with filter is acceptable. Taking into account the parasitic resistance the varactors (1 in simulation), the simulated unloaded quality factor Q u of the proposed tunable microstrip resonator is about 24–74 tuning from 700 GHz to 1700 MHz. With 0.5- parasitic resistance, the Q u can be improved to 38–86. To further improve the insertion loss, high-Q tuning elements, such as RF MEMS varactors can be integrated in the design. Work is in progress to design new topology and coupling structures with improved insertion loss.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN AND MA: DESIGN OF CLASS OF FILTERING COUPLERS
11
TABLE I P ERFORMANCE C OMPARISON OF THE R EPORTED C OUPLERS
V. C ONCLUSION A class of reconfigurable filtering couplers was introduced. The RFTLs with 90° or −90° phase shift were first designed by using capacitor-tuned half-wavelength resonators. For verification, two kinds of reconfigurable filtering including ratrace and quadrature couplers were designed and measured. The design takes advantage of RFTLs to realize a wide tuning range (from 700 to 1840 MHz), filtering power-dividing output and high out-of-band suppression with transmission zeros at the edges of the passbands without increasing the coupler size. The proposed RFTLs can also find applications in the design of other reconfigurable filtering devices. ACKNOWLEDGMENT The authors would like to thank the editors and reviewers of this paper for their valuable comments and suggestions. R EFERENCES [1] M. Rais-Zadeh, J. T. Fox, D. D. Wentzloff, and Y. B. Gianchandani, “Reconfigurable radios: A possible solution to reduce entry costs in wireless phones,” Proc. IEEE, vol. 103, no. 3, pp. 438–451, Mar. 2015. [2] K.-K. M. Cheng and S. Yeung, “A novel rat-race coupler with tunable power dividing ratio, ideal port isolation, and return loss performance,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 55–60, Jan. 2013. [3] M. Zhou, J. Shao, B. Arigong, H. Ren, R. Zhou, and H. Zhang, “A varactor based 90° directional coupler with tunable coupling ratios and reconfigurable responses,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 416–421, Mar. 2014. [4] F. Lin, “Compact design of planar quadrature coupler with improved phase responses and wide tunable coupling ratios,” IEEE Trans. Microw. Theory Techn., vol. 66, no. 3, pp. 1263–1272, Mar. 2018. [5] F. Lin, “A planar balanced quadrature coupler with tunable powerdividing ratio,” IEEE Trans. Ind. Electron., vol. 65, no. 8, pp. 6515–6526, Aug. 2018. [6] A. Ocera, P. Farinelli, P. Mezzanotte, R. Sorrentino, B. Margesin, and F. Giacomozzi, “Novel RF-MEMS widely-reconfigurable directional coupler,” in Proc. Eur. Microw. Conf., Munich, Germany, Oct. 2007, pp. 122–125. [7] O. D. Gurbuz and G. M. Rebeiz, “A 1.6–2.3 GHz RF MEMS reconfigurable quadrature coupler and its application to a 360° reflectivetype phase shifter,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 414–421, Feb. 2015. [8] M. A. Y. Abdalla, K. Phang, and G. V. Eleftheriades, “A compact highly reconfigurable CMOS MMIC directional coupler,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 305–319, Feb. 2008. [9] J. Sun, C. Li, Y. Geng, and P. Wang, “A highly reconfigurable lowpower CMOS directional coupler,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2815–2822, Sep. 2012.
[10] P.-L. Chi and T.-C. Hsu, “Highly reconfigurable quadrature coupler with ideal impedance matching and port isolation,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 8, pp. 2930–2941, Aug. 2017. [11] C.-C. Wang, H.-C. Chiu, and T.-G. Ma, “A slow-wave multilayer synthesized coplanar waveguide and its applications to rat-race coupler and dual-mode filter,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1719–1729, Jul. 2011. [12] C. H. Tseng and C. L. Chang, “A rigorous design methodology for compact planar branch-line and rat-race couplers with asymmetrical T-structures,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2085–2092, Jul. 2012. [13] K.-Y. Tsai, H.-S. Yang, J.-H. Chen, and Y.-J. E. Chen, “A miniaturized 3 dB branch-line hybrid coupler with harmonics suppression,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 10, pp. 537–539, Oct. 2011. [14] J.-A. Hou and Y.-H. Wang, “Design of compact 90° and 180° couplers with harmonic suppression using lumped-element bandstop resonators,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp. 2932–2939, Nov. 2010. [15] H. Uchida, N. Yoneda, Y. Konishi, and S. Makino, “Bandpass directional couplers with electromagnetically-coupled resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1563–1566. [16] C.-K. Lin and S.-J. Chung, “A compact filtering 180° hybrid,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3030–3036, Dec. 2011. [17] F. Lin, Q.-X. Chu, and S. W. Wong, “Design of dual-band filtering quadrature coupler using λ/2 and λ/4 resonators,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 11, pp. 565–567, Nov. 2012. [18] L.-S. Wu, B. Xia, W.-Y. Yin, and J. Mao, “Collaborative design of a new dual-bandpass 180° hybrid coupler,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1053–1066, Mar. 2013. [19] U. Rosenberg, M. Salehi, S. Amari, and J. Bornemann, “Compact multi-port power combination/distribution with inherent bandpass filter characteristics,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2659–2672, Nov. 2014. [20] L. Gao, X. Y. Zhang, and Q. Xue, “Compact tunable filtering power divider with constant absolute bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3505–3513, Oct. 2015. [21] B. Perlman, J. Laskar, and K. Lim, “Fine-tuning commercial and military radio design,” IEEE Microw. Mag., vol. 9, no. 4, pp. 95–106, Aug. 2008. [22] D. M. Pozar, Microwave Engineering. New York, NY, USA: Wiley, 2006. [23] G. L. Matthaei, E. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA, USA: Artech House, 1980. [24] V. K. Tripathi, “Asymmetric coupled transmission lines in an inhomogeneous medium,” IEEE Trans. Microw. Theory Techn., vol. MTT-23, no. 9, pp. 734–739, Sep. 1975. [25] F. Lin and M. Rais-Zadeh, “Continuously Tunable 0.55–1.9-GHz bandpass filter with a constant bandwidth using switchable varactortuned resonators,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 792–803, Mar. 2017.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
Feng Lin (M’14) received the B.Eng. degree in information engineering and Ph.D. degree in electromagnetic fields and microwave technology from the South China University of Technology, Guangzhou, China, in 2008 and 2013, respectively. His Ph.D. dissertation concerned design theory and realization of multiband couplers and power dividers. From 2013 to 2015, he was a Post-Doctoral Research Fellow with the University of Michigan, Ann Arbor, MI, USA. In 2016, he joined the School of Information and Electronics, Beijing Institute of Technology, Beijing, China, as an Associate Professor. His current research interests include RF MEMS and millimeter-wave reconfigurable devices.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Hongzhong Ma was born in Lanzhou, China, in 1993. He received the B.S. degree in electronic and information engineering from the Minzu University of China, Beijing, China, in 2015. He is currently pursuing the M.Eng. degree at the Beijing Institute of Technology, Beijing. His current research interests include the design of reconfigurable RF components.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Compact Narrowband Filtering Rat-Race Coupler Using Quad-Mode Dielectric Resonator Jin-Xu Xu , Xiu Yin Zhang , Senior Member, IEEE, and Hui-Yang Li , Student Member, IEEE
Abstract— In this paper, we propose a method for designing the narrowband filtering rat-race couplers using the quad-mode dielectric resonator (DR) for the first time. The filtering ratrace couplers are designed in single-cavity configuration with one quad-mode DR and four feeding probes, featuring compact size. Based on the investigation on resonant frequencies of a rectangular DR, a quad-mode DR is first constructed. Electromagnetic (EM) field distributions at two resonant mode pairs are studied for guiding the filtering rat-race DR coupler realization. The desired 0° and 180° phase differences of the rat-race coupler are realized by the inherent in-phase and outof-phase EM-fields of the DR. By properly arranging the four feeding probes, the single-cavity configuration is designed to fulfill the transmission characteristics of a rat-race coupler topology, such as the magnitude and phase properties. The detailed design method is presented. For demonstration, a filtering rat-race coupler based on the rectangular DR is fabricated and measured, showing excellent performance of filtering responses, amplitude balance, as well as the 0° and 180° phase differences. Moreover, the other filtering rat-race coupler is implemented by using a cylindrical DR with an improved Q-factor. Comparison with other reported filtering couplers indicates that proposed designs exhibit the advantages of compact size, high Q-factor, and good filtering responses. Index Terms— Bandpass responses, compact size, dielectric resonator (DR), high Q-factor, narrow bandwidth, rat-race coupler, single cavity.
I. I NTRODUCTION
C
OUPLERS and bandpass filters (BPFs) are key components in many radio frequency front ends of wireless communication systems [1]–[5]. Generally, they are cascaded, such as the rat-race coupler and BPFs shown in Fig. 1(a). Two BPFs are needed to integrate bandpass responses to one rat-race coupler, occupying large circuit areas. Interstage mismatch may exist and thus cause performance degradation. Moreover, the total loss, including the losses of rat-race coupler and BPF, is usually high. To overcome these problems, many efforts are conducted to integrate the rat-race coupler and BPF as a multifunctional filtering rat-race coupler with the schematic shown in Fig. 1(b) [6]–[12]. In [6], a compact wide-stopband filtering
Manuscript received November 16, 2017; revised April 15, 2018; accepted May 19, 2018. This work was supported by the National Natural Science Foundation of China under Grant 61725102. (Corresponding author: Xiu Yin Zhang.) The authors are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou 510641, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2851562
Fig. 1. (a) Cascaded BPF and rat-race coupler. (b) Filtering rat-race coupler.
rat-race coupler is designed by using four ±K inverters with bandpass functions, which replace the one or three quarterwavelength transmission lines in a classical rat-race coupler. In [7], quarter- and half-wavelength microstrip resonators are used to construct the rat-race coupler with bandpass responses. By using four stub-loaded stepped-impedance resonators, a dual passband 180° hybrid is realized [8]. Based on the low-temperature cofired ceramic (LTCC) techniques, the multilayer filtering rat-race couplers are designed with very compact size [9], [10]. Nevertheless, the above multifunctional designs [6]–[12] suffer from the low Q-factor, which are not suitable for narrowband applications, such as the fractional bandwidth (FBW) less than 2%. Otherwise, the insertion loss would be high. Due to the high Q-factor, the dielectric resonator (DR) and the cavity are popularly employed in narrowband specifications [13]. In [14], a compact in-line filter is presented with pseudoelliptic responses by employing the mixed TE101 cavity mode and TE01δ dielectric mode. Based on the multimode DRs, BPFs and diplexers are designed with compact size [15]–[18]. Besides, the electromagnetic (EM) field properties of DRs can be analyzed to realize different phase shifts between metal probe and DRs. In addition, balanced/balun BPFs can be designed by using DRs with narrow FBW and low loss conveniently [19]–[22]. Apart from the BPFs, diplexers, and balance/balun BPFs, the filtering couplers have also been developed using DRs. In [23], a Ku-band bandpass 180° directional coupler is designed using four TE01δ -mode DRs. Narrow bandwidth is obtained with low loss, whereas the quad-cavity configuration suffers from large size and heavy weight. By utilizing a single cavity with a cross-shaped dual-mode DR, the four-port filtering rat-race coupler is constructed with miniaturized size [24]. However, this coupler features the first-order bandpass responses, where the out-of-band roll-off rate performance is not so good, resulting in poor skirt selectivity.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 2.
3-D structure of the quad-mode rectangular DR.
In this paper, filtering rat-race couplers are designed using the quad-mode DR for the first time. Due to the high Q-factor, the proposed couplers are realized with low loss under narrowbandwidth specification. By utilizing one quad-mode DR and four feeding probes, single-cavity configuration is achieved with compact size. In order to construct a quad-mode DR, the resonant frequencies of a rectangular DR are firstly investigated and then EM-field properties at two resonant mode pairs are studied, as presented in Section II. Inherent in-phase and out-of-phase characteristics of EM fields in the DR are utilized to obtain the desired 0° and 180° phase differences of the ratrace coupler topology. By properly arranging the four feeding probes, single-cavity coupler configuration is constructed, featuring compact size. The detailed design method is given in Section III. For verification, a filtering rat-race coupler using the rectangular DR is fabricated and measured, showing good performance of filtering responses, amplitude balance, as well as the 0° and 180° phase differences, as detailed in Section IV. In Section V, for further verifying the proposed design method, the other filtering rat-race coupler is implemented based on the cylindrical DR with an improved Q-factor. Comparison with other filtering couplers indicates that proposed designs exhibit the advantages of compact size, high Q-factor, and good filtering responses, which is addressed in Section VI.
Fig. 3.
Resonant frequencies of the DR versus h.
II. A NALYSIS OF THE Q UAD -M ODE R ECTANGULAR DR In the proposed filtering rat-race coupler, a quad-mode rectangular DR is employed with the 3-D view shown in Fig. 2. The DR is placed at the center of a cuboid metallic cavity. Its top and bottom surfaces are connected to the metal walls of the cavity. In other word, the rectangular DR is designed as short circuit at two ends. a, b, and h are the length, width, and height of the DR, respectively, while A, B, and H are those of the metal cavity. To generate the orthogonal resonant modes with the same frequency, a and b are selected with the same dimension, namely, a = b. In order to investigate the resonant frequencies of this DR, some initial dimensions are chosen as follows (all in mm): a = b = 10, A = B = 25, and h = H = 13. The substrate of DR has the dielectric constant of 36.5 and the loss tangent of 2 × 10−4 . Solving by the eigenmode solution type in the High-Frequency Structure Simulator (HFSS), resonant frequencies of the DR versus h (a and b are unaltered) are shown in Fig. 3. Here, we use M1–M4 to represent the lowest modes. Among them, both M2 and M3 pairs are the orthogonal mode pairs. As can be
Fig. 4. EM-field distributions of the quad-mode DR at (a) M2 pair and (b) M3 pair.
observed in Fig. 3, when h is around 14 mm, M2 and M3 pairs resonate at the same frequency. Thus, by selecting the values of a, b, and h within a proper range, this DR can be used as a quad-mode resonator. In order to employ the quad-mode DR to construct a filtering rat-race coupler, the EM-field properties of the two mode pairs are studied. Fig. 4 shows the EM-field distributions of the DR at M2 and M3 pairs. As seen, both the M2 and M3 pairs are
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: COMPACT NARROWBAND FILTERING RAT-RACE COUPLER USING QUAD-MODE DR
Fig. 5.
3
Quad-mode rectangular DR with four tuning probes. Fig. 7.
3-D structure of the in-phase filtering power divider.
Fig. 8. Top view of the EM-field distributions of the DR and feeding probes at (a) M2 mode, (b) M2 mode, (c) M3 mode, and (d) M3 mode. Fig. 6. (a) Schematic of a rat-race coupler. (b) Schematic of an in-phase power divider. (c) Schematic of an out-of-phase power divider.
orthogonal pairs. The E-fields of M2 pair are extended between the top and bottom walls, while that of the M3 pair form two half circles. As seen from E-fields in Fig. 4, M2 and M3 pairs do not coincide with each other at the middle plane z = h/2. Hence, four tuning probes that are placed at the plane z = h/2 of the cavity, as shown in Fig. 5, can be utilized to theoretically fine tune the M2 and M3 pairs independently. Considering the resonant frequency study of the DR in Fig. 3, it has enough design freedom to obtain arbitrary desired resonant frequencies of this quad-mode DR. In addition, it is utilized to construct a filtering rat-race coupler as addressed in the following. III. F ILTERING R AT-R ACE C OUPLER BASED ON Q UAD -M ODE R ECTANGULAR DR For a four-port rat-race coupler configuration, when signals are injected from port 1, the output signals from ports 2 and 3 are in-phase. If port 4 is excited, the out-of-phase responses are obtained in ports 2 and 3. Hence, the schematic can be shown in Fig. 6(a), where the phase shifts from port 1 to ports 2 and 3 should be the same, while those from port 4 to ports 2 and 3 are required to exhibit the difference of 180°. For easy analysis of the amplitude and phase characteristics, the schematic is divided into two sections, including an
in-phase power divider and an out-of-phase power divider, as shown in Fig. 6(b) and (c), respectively. To construct the 3-D single-cavity structure to fulfill the whole schematics in Fig. 6(a), the in-phase and out-of-phase power dividers with the schematic in Fig. 6(b) and (c) based on the DR are firstly designed, which are presented in Sections III-A and III-B. Then, the two power dividers are combined as a rat-race coupler, as addressed in Section III-C. A. Analysis of the In-Phase Filtering Power Divider Fig. 7 shows the 3-D configuration of the in-phase power divider. It consists of a quad-mode rectangular DR and three feeding probes. The three feeding probes are arranged close to the DR for inputs and outputs, where ports 1–3 are defined, as shown in Fig. 7. Among them, port 1 is extended from the top metal wall to the center, while ports 2 and 3 are extended from the bottom metal wall. Port 1 is placed at the center plane between ports 2 and 3 so as to separate the input signals to ports 2 and 3 equally. The whole 3-D structure is symmetric. When signals are injected from port 1, the quad-mode rectangular DR can be excited. According to the analysis in Section II, the EM-field distributions of the DR at the two resonant pairs and feeding probes can be shown in Fig. 8. Here, to show each mode clearly, we use M2 and M2 to represent the M2 pair, while M3 and M3 to represent
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 9. (a) Topology of the in-phase power divider. (b) Topology of the half in-phase power divider.
the M3 pair. To obtain good filtering responses, electric and magnetic coupling coefficients (ke and km ) between the DR and feeding probes are studied, which can be calculated on the basis of the ratio of coupling energy to stored energy as [25] ε E 1 · E 2 dv ke = (1) 2 2 ε| E 1 | dv× ε| E 2 | dv μ H1 · H2 dv km = . (2) 2 2 μ| H1| dv× μ| H2 | dv From Fig. 8(a) and (b), ke between the feeding probes and the DR at the M2 pair can be calculated as zero based on (1), because the E-fields of the feeding probes and DR are perpendicular to each other. However, at the M2 mode, the feeding probes and DR can be coupled to each other through the magnetic coupling, as shown in Fig. 8(a). In Fig. 8(b), the H-field of the DR at the M2 mode is symmetric at the two sides of the center plane, while that of port 1 is out of phase. According to (2), km between DR and port 1 is calculated as zero. In conclusion, when the DR resonates at the M2 pair, signals can only be transmitted to ports 2 and 3 through the M2 mode. Similar to that in Fig. 8(a) and (b), the coupling between the feeding probes and DR at the M3 pair in Fig. 8(c) and (d) can also be analyzed. It is found that the coupling coefficient between port 1 and DR at the M3 mode is nearly zero. As shown in Fig. 8(d), the E-field of the DR at the two sides of the center plane is out of phase while that of port 1 is symmetric and thus the coupling between them is zero based on (1). As for the magnetic coupling, it is very weak and thus can be ignored. Since port 1 is close to ports 2 and 3, weak source-load coupling exists. Consequently, the topology of an in-phase power divider is obtained in Fig. 9(a). As seen, the whole topology is symmetric. Hence, the half circuit with the topology shown in Fig. 9(b) can be analyzed to characterize the overall circuit. It is equivalent to the second-order BPF. The coupling matrix of this second-order filter can be expressed as ⎡
M N+2
S
S 0 1 ⎢ M 1S = ⎢ 2 ⎣ M2S L ML S
1
2
M S1 M11 0 M L1
M S2 0 M22 M L2
L
⎤
MS L M1L ⎥ ⎥. M2L ⎦ 0
(3)
As a design example, the desired passband is centered at 3.49 GHz with the 1.6% FBW of 20-dB equal-ripple return loss. Two transmission zeros are appointed at the frequencies
Fig. 10.
Extracted Q e1 and Q e2 against (a) L 1 and (b) g1 .
of 3.15 and 4.1 GHz. Based on the advanced coupling matrix synthesis method in [26], the initial coupling matrix with the same normalized input and output impedance can be synthesized as S ⎡ S 0 1 ⎢ 0.8413 M N+2 = ⎢ 2 ⎣ −0.8826 L 0.0096
1
2
0.8413 1.6654 0 −0.8411
−0.8826 0 −1.6525 −0.8824
L ⎤ 0.0096 −0.8411 ⎥ ⎥. −0.8824 ⎦ 0 (4)
Comparing to the topologies of the in-phase power divider and the second-order BPF in Fig. 9(a) and (b), it can be found that the in-phase power divider is realized by adding port 3 to the second-order BPF through the coupling expressed as , M , and M . According to the filter design theory, M1L 2L SL some elements M1L (M L1 ), M2L (M L2 ), and √M S L (MLS ) in matrix (4) should be reduced by a factor of 2 to meet the requirement of input port matching of the in-phase power divider [27], which is expressed as (5), where i = S, 1, 2 Mi L Mi L ,modified = √ . 2
(5)
Other elements in matrix (4) are unaltered. The desired input and output external quality factor Q ei at the center frequency ( f 0 ) can be calculated by (6), where i = 1, 2 Q ei =
1
. 2 or M 2 FBW × MSi iL
(6)
The resonant frequency of the resonator corresponding to the nonzero Mii is given by ⎡ ⎤ 2
Mii × FBW Mii × FBW ⎦ fi = f 0 × ⎣ 1 + − . 2 2 (7) After determining the above parameters, the 3-D structure of the in-phase power divider is constructed to obtain the desired responses. Firstly, based on the resonant frequency study in Fig. 3, the resonant frequencies of the M2 and M3 pairs are adjusted by the dimensions of the DR and tuning probes to meet the desired values of f i . Then, Q ei is realized by controlling the coupling between the feeding probes and the DR. Fig. 10(a) and (b) shows the extracted Q e1 and Q e2 against the length of feeding probe (L 1 ) as well as the gap
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: COMPACT NARROWBAND FILTERING RAT-RACE COUPLER USING QUAD-MODE DR
5
Fig. 13. Top view of the EM-field distributions of the DR and feeding probes in the out-of-phase power divider at (a) M2 , (b) M2 , (c) M3 , and (d) M3 .
Fig. 11. (a) Theoretical and simulated results of the in-phase power divider. (b) Simulated phase difference between S21 and S31 . Fig. 14.
Fig. 12.
3-D configuration of the out-of-phase filtering power divider.
between the DR and feeding probe (g1) shown in Fig. 7. From these two figures, it is known that the desired Q e1 and Q e2 can be obtained by optimizing the values of L 1 and g1 . The source-load coupling MSL is achieved by the weak coupling between the input and output feeding probes. Consequently, the in-phase filtering power divider responses can be obtained. Fig. 11(a) shows the theoretical and simulated results, which exhibit good bandpass responses and equal power division. Since the whole structure is symmetric, ports 2 and 3 have good phase imbalance of less than ±2°, as shown in Fig. 11(b). B. Analysis of the Out-of-Phase Filtering Power Divider Fig. 12 shows the 3-D configuration of the out-of-phase filtering power divider. As compared to the in-phase power
Topology of the out-of-phase filtering power divider.
divider, the location of port 4 is different from that of port 1 in Fig. 7. When the signals are injected from port 4, the EM-field distributions of the DR and feeding probes are shown in Fig. 13. On the basis of the analysis of the in-phase power divider in Section III-A, the topology of the out-of-phase filtering power divider can be easily obtained in Fig. 14. As seen, the topology is similar to that of the in-phase power divider in Fig. 9(a). Nevertheless, obvious difference can be found when comparing the EM-field distributions of the in-phase and outof-phase filtering power dividers. In Fig. 8(a) and (c), signals are coupled from the DR to ports 2 and 3 with the same phase at the M2 and M3 modes. However, by analyzing the EM fields of the feeding probes and DR at the M2 and M3 modes in the out-of-phase filtering power divider in Fig. 13(b) and (d), it is found that the EM-field directions of port 2 are opposite to that of port 3. It is because of the inherent in-phase and out-of-phase E-field distributions of the DR. Hence, it can be known that the desired out-of-phase output signals at ports 2 and 3 are obtained. To obtain good filtering responses, the desired values of the external quality factor (Q ei ) and resonant frequencies of DR ( f i ) can be determined according to the in-phase power divider analyzed in Section III-A. Consequently, the out-ofphase filtering power divider can be constructed. Fig. 15 shows the simulated results of the out-of-phase filtering power divider. As seen, good filtering responses can be obtained and the phase difference between two output ports is near 180°, which verifies the proposed idea.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 17.
Simulated S22 , S33, and S41 of the rat-race coupler.
Fig. 18.
Simulated S11 and S21 versus (a) h and (b) t.
Fig. 15. Simulated responses of the out-of-phase filtering power divider. (a) S24 , S34, and S44 . (b) Phase difference between two output ports.
Fig. 16. 3-D structure of the filtering rat-race coupler using the rectangular DR.
C. Analysis of the Filtering Rat-Race Coupler Based on the above analysis, a filtering rat-race coupler is designed by combining the in-phase and out-of-phase power dividers in Sections III-A and III-B, as shown in Fig. 16. The whole structure is a single-cavity configuration, featuring compact size. As for a rat-race coupler, the isolation between port 1 and port 4 (S41 ) is a significant design target. From the schematic of the proposed filtering rat-race coupler in Fig. 6(a), it is known that the isolation can be obtained, as analyzed in the following. The signals injected from port 1 are equally coupled to ports 2 and 3 and then transmitted to port 4 with the 180° phase shift. Thus, the signals from port 1 to port 4 through two transmission paths [paths 1 and 2 in Fig. 6(a)] can be canceled out, resulting in isolation performance. Meanwhile, when the in-phase and out-of-phase power dividers are combined, port matching of ports 2 and 3 can
be realized. For demonstration, the simulated S22 , S33 , and S41 are shown in Fig. 17. As seen, good isolation can be realized and the return losses of ports 2 and 3 are better than 18 dB. Thus, a filtering rat-race coupler can be designed. It is noted that manufacturing tolerance exists in the implementation, which may affect the responses. To evaluate this issue, the sensitivity of some key parameters is studied. From the resonant frequency analysis of the rectangular DR in Fig. 3, we know that it is crucial to control the ratio of the height (h) and length (a) [or width (b)] of the rectangular DR to realize a quad-mode resonator. Fig. 18(a) shows the simulated S11 and S21 of the filtering rat-race coupler with the different values of h. As seen, if h is fabricated with a tolerance of ±0.1 mm, the bandwidth and the return loss (S11 ) only have slight differences. Moreover, the four tuning probes with
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: COMPACT NARROWBAND FILTERING RAT-RACE COUPLER USING QUAD-MODE DR
Fig. 19.
7
Photograph of the fabricated coupler.
the length of t can be utilized for fine tuning, as shown in Fig. 18(b). Thus, the responses are not sensitive to the fabrication tolerance. IV. E XPERIMENT OF THE R ECTANGULAR DR-BASED F ILTERING R AT-R ACE C OUPLER Based on the design method discussed earlier, the proposed filtering rat-race coupler is implemented with the 3-D structure shown in Fig. 16. The dielectric material is from the Jiangsu Jiangjia Electronics Co. Ltd., Jiangsu, China, with the operating temperature range from −40 °C to 85 °C. Within this temperature range, the temperature coefficient of frequency of the DR is smaller than 10 ppm/°C. It should be noted that the fabrication process is the same as that of the traditional DR circuits. Thus, the thermal behaviors of the fabricated DR-based filtering rat-race couplers are similar to that of other reported DR works. The photograph of the fabricated coupler is shown in Fig. 19. The overall circuit size is 33 × 33 ×22.5 mm3 (or 0.38 × 0.38 × 0.26 λ3g ). The simulation is carried out by using HFSS and measurement is accomplished by using the Keysight Agilent E5071C network analyzer under the indoor temperature of around 25 °C. Fig. 20 shows the simulated and measured results under the in-phase operation. Good agreement can be observed. The measured passband is centered at 3.49 GHz with the 3-dB FBW of 1.7%. The Q-factor is measured as around 1200. It should be noted that there are several sources of loss that may decrease the measured Q-factor, such as the losses in aluminum walls and losses of SMA connectors. Two transmission zeros are generated at both sides of the passband, which enhance the skirt selectively. The return loss is better than 15 dB. The insertion losses at the center frequency including the 3-dB equal power division loss are (3 + 0.62) and (3 + 0.7) dB, with the amplitude imbalance of less than 0.1 dB. The phase difference between the two output ports is less than 2.5°, showing good filtering in-phase power division responses. Fig. 21 shows the simulated and measured results under the out-of-phase operation. The measured magnitudes of S24 and S34 are −(3 + 0.65) and −(3 + 0.71) dB at the center frequency, respectively, with the difference of less than 0.1 dB. The in-band return loss is better than 15 dB. The phase difference between ports 2 and 3 is around 180° with the variation of less than 2.5°. Good performance of bandpass filtering responses, equal power division, and 180° phase difference is realized.
Fig. 20. Simulated and measured results under the in-phase operation. (a) S11 , S21, and S31 . (b) Phase difference between the two output ports.
Fig. 21. Simulated and measured results under the out-of-phase operation. (a) S44 , S24, and S34 . (b) Phase difference between the two output ports.
Fig. 22 shows the simulated and measured S41 , S22 , and S33 . As seen, the isolation between ports 1 and 4 within the passband is better than 22 dB, and the return losses of
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
Fig. 22.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Simulated and measured S22 , S33, and S41 .
Fig. 24. E-field distribution of the feeding probes and DR at (a) HEH11 , (b) HEH 11 , (c) HEE11 , and (d) HEE 11 .
Fig. 23. 3-D structure of the filtering rat-race coupler using the cylindrical DR.
two output ports are better than 18 dB, showing high isolation and good output port matching. Moreover, due to the use of high-power DR material and metal cavity, the proposed filtering rat-race coupler with a heat sink can be applied to high-power applications. V. F ILTERING R AT-R ACE C OUPLER BASED ON Q UAD -M ODE C YLINDRICAL DR As presented earlier, we proposed a method using the quadmode DR to design a compact filtering rat-race coupler with single-cavity configuration. The quad-mode rectangular DR is constructed with two short ends and then applied to realize the filtering rat-race coupler. For further verifying the proposed concept, the other quad-mode cylindrical DR is employed to demonstrate this method, which features higher Q-factor compared to the constructed rectangular DR. A. Design of the Filtering Rat-Race Coupler Fig. 23 shows the 3-D structure of the filtering rat-race coupler. It consists of a cylindrical DR, four feeding probes, and the tuning probes. Some of the lower order modes of the DR are the TEH, HEH11 pair, HEE11 pair, and TME modes. When the ratio of diameter and the height of the DR are properly selected, the resonant modes of HEH11 and HEE11 pairs are at the same frequency. Thus, this DR can be used as a quad-mode resonator [16]. The tuning probes are placed under and above the DR to separate the TME mode
from HEH11 and HEE11 pairs. When the quad-mode DR is excited, the E-field distributions of the DR and feeding probes at these two resonant pairs are shown in Fig. 24. Here, to show each resonant mode clearly, we use HEH11 and HEH 11 to represent the HEH11 pair, while the HEE11 pair is expressed as HEE11 and HEE 11 . Similar to the analysis in Section III, the coupling between the DR and the feeding probes can be analyzed. For example, when the DR is resonated at HEH11 , ports 1–3 can be coupled to the DR, while port 4 cannot, as shown in Fig. 24(a). After analyzing the coupling between the DR and the feeding probes, it is found that the schematic of this structure is the same as that of the rectangular DRbased coupler in Fig. 6(a). Thus, rat-race coupler performance can be obtained by this structure. For circuit realization, the 3-D configuration of the filtering rat-race coupler can be constructed following the method in Section III. Firstly, the coupling matrix of a second-order BPF is synthesized. Secondly, MiL (i = S, 1, 2) are reduced √ by a factor of 2 to fulfill the input impedance requirement of the in-phase power divider, and thus the coupling matrix of in-phase operation can be obtained. Thirdly, the desired values of external qualify factor (Q ei ) and resonant frequencies ( f i ) are calculated by (6) and (7) and the in-phase power divider can be constructed according to these values. Fourthly, the out-of-phase power divider is also designed based on the above Q ei and f i , where the location of port 4 is different from that of port 1 in the in-phase power divider. Finally, the in-phase and out-of-phase power dividers are combined to realize the whole circuit, and fine tuning is performed to obtain excellent filter and rat-race coupler responses. B. Experiment The proposed filtering rat-race coupler based on the cylindrical DR is also implemented. The photograph of the fabricated coupler is shown in Fig. 25. The circuit size is 36 × 36 × 28 mm3 (or 0.42 × 0.42 × 0.32 λ3g ).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: COMPACT NARROWBAND FILTERING RAT-RACE COUPLER USING QUAD-MODE DR
9
Fig. 25. Photograph of the filtering rat-race coupler based on the cylindrical DR. Fig. 27.
Fig. 26. Simulated and measured results under the in-phase operation. (a) S11 , S21, and S31 . (b) Phase difference between the two output ports.
Fig. 26 shows the simulated and measured results under the in-phase operation. The measured passband is centered at 3.51 GHz with the 3-dB FBW of 1.3%. The minimum insertion losses at the two output ports are (3 + 0.36) and (3 + 0.43) dB, with the amplitude imbalance of less than 0.1 dB. The Q-factor is measured as around 2500. As compared to the filtering rat-race coupler using the rectangular DR presented in Section IV, this present design features higher Q-factor. Three transmission zeros are generated at 3.21, 3.46, and 3.63 GHz by multiple transmission paths from source (port 1) to the load (port 2 or port 3), including the paths of TME mode, HEH11 mode, HEE11 mode, and source-load coupling, as shown in Fig. 27. The return loss is better than 17 dB. The phase difference between the two output ports is less than 2°, showing good filtering in-phase power division performance.
Topology from port 1 to port 2 (or port 3).
Fig. 28. Simulated and measured results under the out-of-phase operation. (a) S44 , S24, and S34 . (b) Phase difference between the two output ports.
Fig. 28 shows the simulated and measured results under the out-of-phase operation. The measured magnitudes of S24 and S34 are −(3 + 0.41) and −(3 + 0.37) dB, respectively, with the difference of less than 0.1 dB. The return loss is better than 16 dB. The phase difference between ports 2 and 3 is around 180°. Good performance of bandpass filtering responses, equal power division, and 180° phase difference is realized. Fig. 29 shows the simulated and measured S41 , S22, and S33 . As seen, S41 within the passband is smaller than −23 dB, and the return losses of two output ports are better than 15 dB, showing high isolation and good output port matching. VI. C OMPARISON Table I tabulates the comparison with some other filtering couplers. In [6], the microstrip and LC lumped components
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
Fig. 29.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Simulated and measured S22 , S33, and S41 . TABLE I C OMPARISON W ITH S OME O THER F ILTERING C OUPLERS
realize ±K inverters with filtering functions, which is used to replace the 1/4 or 3/4 λg transmission lines in the classical rat-race coupler. Very compact size is achieved, whereas it is not suitable for high-frequency operations due to the self-resonance of LC lumped components. In [7] and [9]–[11], good bandpass responses are integrated in the couplers by using coupled resonators in PCB or LTCC techniques. Nevertheless, due to the Q-factor limitation, these couplers [6], [7], [9]–[11] cannot be designed with narrow bandwidth (FBW less than 2%). Otherwise, the insertion loss would be very high. Compared to the filtering coupler using high Q-factor DRs in [23], only one resonator is employed in the proposed designs, while four DRs are needed in [23]. Hence, size and weight can be reduced by using the proposed method. In [24], the filtering coupler is constructed using one DR with the first-order bandpass responses, where the selectivity is poor and the bandwidth is difficult to be controlled. For the proposed filtering couplers using high Q-factor DR, they have low insertion loss under the narrow-bandwidth specification as well as high power handling capability, which is attractive in base-station applications. VII. C ONCLUSION We have presented a novel method for designing the narrowband filtering rat-race coupler using quad-mode DR. Resonant frequencies of a rectangular DR have been
investigated to construct a quad-mode DR. EM-field properties of the DR have been studied for guiding the filtering rat-race DR coupler realization. The detailed analysis and the design method have been presented to realize the DR-based filtering rat-race coupler in compact single-cavity configuration. Simulated and measured results have been presented to verify the proposed ideas. For further verification, the other filtering rat-race coupler has been implemented using a cylindrical DR with an improved Q-factor. The presented designs have shown excellent performance of filtering responses, amplitude balance, as well as the 0° and 180° phase difference. Comparison with other reported filtering couplers has been given to show that the proposed designs exhibit the advantages of compact size, high Q-factor, and good filtering responses. R EFERENCES [1] G. Shen, W. Che, W. Feng, and Q. Xue, “Analytical design of compact dual-band filters using dual composite right-/left-handed resonators,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 804–814, Mar. 2017. [2] S.-W. Wong, S.-F. Feng, L. Zhu, and Q.-X. Chu, “Triple- and quadruplemode wideband bandpass filter using simple perturbation in single metal cavity,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3416–3424, Oct. 2015. [3] K. Zhou, C.-X. Zhou, and W. Wu, “Substrate-integrated waveguide dual-mode dual-band bandpass filters with widely controllable bandwidth ratios,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 10, pp. 3801–3812, Oct. 2017. [4] Y. Wu, J.-Y. Shen, Y. Liu, S.-W. Leung, and Q. Xue, “Miniaturized arbitrary phase-difference couplers for arbitrary coupling coefficients,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2317–2324, Jun. 2013. [5] Y. Wu, W. Sun, S.-W. Leung, Y. Diao, K.-H. Chan, and Y.-M. Siu, “Single-layer microstrip high-directivity coupled-line coupler with tight coupling,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 746–753, Feb. 2013. [6] K.-X. Wang, X. Y. Zhang, S. Y. Zheng, and Q. Xue, “Compact filtering rat-race hybrid with wide stopband,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 8, pp. 2550–2560, Aug. 2015. [7] C.-K. Lin and S.-J. Chung, “A compact filtering 180° hybrid,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3030–3036, Dec. 2011. [8] L.-S. Wu, B. Xia, W.-Y. Yin, and J.-F. Mao, “Collaborative design of a new dual-bandpass 180° hybrid coupler,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1053–1066, Mar. 2013. [9] T.-M. Shen, T.-Y. Huang, C.-F. Chen, and R.-B. Wu, “A laminated waveguide magic-T with bandpass filter response in multilayer LTCC,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 584–592, Mar. 2011. [10] K. X. Wang, X.-F. Liu, Y. C. Li, L. Z. Lin, and X.-L. Zhao, “LTCC filtering rat-race coupler based on eight-line spatially-symmetrical coupled structure,” IEEE Access, vol. 6, pp. 262–269, 2018. [11] Y.-L. Lu, Y. Wang, C. Hua, and T. Liu, “Design of compact filtering rat-race hybrid with λ/2-resonators,” Electron. Lett., vol. 52, no. 21, pp. 1780–1782, Oct. 2016. [12] Y. J. Cheng and Y. Fan, “Compact substrate-integrated waveguide bandpass rat-race coupler and its microwave applications,” IET Microw., Antennas Propag., vol. 6, no. 9, pp. 1000–1006, Jun. 2012. [13] R. R. Mansour, “High-Q tunable dielectric resonator filters,” IEEE Microw. Mag., vol. 10, no. 6, pp. 84–98, Oct. 2009. [14] C. Tomassoni, S. Bastioli, and R. V. Snyder, “Compact mixed-mode filter based on TE101 cavity mode and TE01δ dielectric mode,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4434–4443, Dec. 2016. [15] R. Zhang and R. R. Mansour, “Dual-band dielectric-resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1760–1766, Jul. 2009. [16] M. Memarian and R. R. Mansour, “Quad-mode and dual-mode dielectric resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3418–3426, Dec. 2009.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: COMPACT NARROWBAND FILTERING RAT-RACE COUPLER USING QUAD-MODE DR
[17] S.-W. Wong, Z.-C. Zhang, S.-F. Feng, F.-C. Chen, L. Zhu, and Q.-X. Chu, “Triple-mode dielectric resonator diplexer for base-station applications,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 3947–3953, Dec. 2015. [18] Z. C. Zhang et al., “Triple-mode dielectric-loaded cylindrical cavity diplexer using novel packaging technique for LTE base-station applications,” IEEE Trans. Compon., Packag. Manuf. Technol., vol. 6, no. 3, pp. 383–389, Mar. 2016. [19] J.-X. Chen, J. Li, W. Qin, J. Shi, and Z.-H. Bao, “Design of balanced and balun filters using dual-mode cross-shaped dielectric resonators,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 4, pp. 1226–1234, Apr. 2017. [20] J.-X. Chen, Y. Zhan, W. Qin, Z.-H. Bao, and Q. Xue, “Analysis and design of balanced dielectric resonator bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1476–1483, May 2016. [21] J.-X. Chen, Y. Zhan, W. Qin, and Z.-H. Bao, “Design of highperformance filtering balun based on TE01δ -mode dielectric resonator,” IEEE Trans. Ind. Electron., vol. 64, no. 1, pp. 451–458, Jan. 2017. [22] J. Li, Y. Zhan, W. Qin, Y. Wu, and J.-X. Chen, “Differential dielectric resonator filters,” IEEE Trans. Compon., Packag. Manuf. Technol., vol. 7, no. 4, pp. 637–645, Apr. 2017. [23] H. Uchida, N. Yoneda, Y. Konishi, and S. Makino, “Bandpass directional couplers with electromagnetically-coupled resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1563–1566. [24] L. Jiao, Y. Wu, Y. Liu, W. Wang, and J. X. Chen, “Concept for narrowband filtering rat-race coupler using dual-mode cross-shaped dielectric,” Electron. Lett., vol. 52, no. 3, pp. 212–213, 2016. [25] J. S. Hong and M. J. Lancaster, Microwave Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2011. [26] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jul. 2003. [27] L. K. Yeung and K.-L. Wu, “An LTCC balanced-to-unbalanced extracted-pole bandpass filter with complex load,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 4, pp. 1512–1518, Apr. 2006.
Jin-Xu Xu was born in Guangdong, China. He received the B.S. degree in electronic engineering from the South China University of Technology, Guangzhou, in 2015, where he is currently pursuing the Ph.D. degree at the School of Electronic and Information Engineering. From 2014 to 2015, he was a Research Assistant with the Shenzhen Key Laboratory of MWWC, City University of Hong Kong, Shenzhen Research Institute, Shenzhen, China. He has authored or co-authored over 20 internationally referred journal/ conference papers. He holds five Chinese patents and one U.S. patent. His current research interests include microwave circuits, antennas, and low-temperature cofired ceramic techniques. Mr. Xu was a recipient of the Best Student Paper Award from the IEEE MTT-S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications, Chengdu, China, in 2016, the National Scholarship of China for Graduate Students in 2016 and 2017, and the Excellent Graduate Student of Guangdong Province.
11
Xiu Yin Zhang (S’07–M’10–SM’12) received the B.S. degree in communication engineering from the Chongqing University of Posts and Telecommunications, Chongqing, China, in 2001, the M.S. degree in electronic engineering from the South China University of Technology, Guangzhou, China, in 2006, and the Ph.D. degree in electronic engineering from the City University of Hong Kong, Hong Kong, in 2009. From 2001 to 2003, he was with the ZTE Corporation, Shenzhen, China. He was a Research Assistant from 2006 to 2007 and a Research Fellow from 2009 to 2010 with the City University of Hong Kong. He is currently a Full Professor and the Vice Dean with the School of Electronic and Information Engineering, South China University of Technology. He also serves as the Deputy Director of the Guangdong Provincial Engineering Research Center of Antennas and RF Techniques and the Vice Director of the Engineering Research Center for Short-Distance Wireless Communications and Network, Ministry of Education. He has authored or co-authored over 100 internationally referred journal papers, including 60 IEEE T RANSACTIONS papers and around 60 conference papers. His current research interests include microwave circuits and subsystems, antennas and arrays, and wireless power transfer. Dr. Zhang is a Fellow of the Institution of Engineering and Technology. He has served as a Technical Program Committee Chair/member and a Session Organizer/Chair for a number of conferences. He was a recipient of the National Science Foundation Award for Distinguished Young Scholars of China, the Young Scholar Award of the Changjiang Scholars’ Program of the Chinese Ministry of Education, the Top-notch Young Professionals Award of the National Program of China, and the Scientific and Technological Award (First Honor) of Guangdong Province. He was the Supervisor of several conference Best Paper Award winners. He is an Associate Editor for IEEE A CCESS .
Hui-Yang Li (S’17) was born in Hunan, China. She received the B.S. degree from Hunan University, Changsha, China, in 2017. She is currently pursuing the M.S. degree at the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, China. Her current research interests include microwave circuits and film bulk acoustic resonators.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Design of Three-State Diplexer Using a Planar Triple-Mode Resonator Sai-Wai Wong , Senior Member, IEEE, Bing-Long Zheng, Jing-Yu Lin , Student Member, IEEE, Zhi-Chong Zhang, Yang Yang , Senior Member, IEEE, Lei Zhu , Fellow, IEEE, and Yejun He , Senior Member, IEEE
Abstract— A highly integrated three-state diplexer (TSD) on a single planar elliptical structure is for the first time presented in this paper. Three resonant modes are investigated in a planar elliptical resonator, e.g., two TM11 degenerate modes and one TM21 mode. These three resonant modes are designed to form three filtering channels, which are further combined to generate three states of a diplexer, namely, TSD. The planar elliptical triple-mode resonator is fed by three microstrip lines to form a triple-mode TSD. In order to validate the concept, the designed planar TSD is fabricated and measured. The measured results are in good agreement with the simulated ones. Index Terms— Diplexer, duplexer, elliptical resonator, filter, three-state diplexer (TSD), triple-mode resonator.
I. I NTRODUCTION
T
HE diplexer is an important component for wireless communication systems due to its inherent nature of frequency division applied for frequency up-conversion and down-conversion links, where the multiple diplexers are generally used in multichannel selections of a complex system. As the research launches, the designs and synthesis of diplexers have been comprehensively investigated [1]–[17]. The traditional approach of designing a diplexer normally employs two filters to form the separated passbands at the
Manuscript received November 17, 2017; revised April 17, 2018; accepted May 19, 2018. This work was supported in part by the Shenzhen University Research Startup Project of New Staff under Grant 20188082, in part by the NTUT-SZU Joint Research Program under Grant 2018009, in part by the Fundamental Research Funds for the Central Universities under Grant 2017ZD044, in part by the Shenzhen Science and Technology Programs under Grant ZDSYS 201507031550105, Grant JCYJ 20170302150411789, Grant JCYJ 20170302142515949, and Grant GCZX 2017040715180580, and in part by the Guangdong Provincial Science and Technology Program under Grant 2016B090918080. (Corresponding author: Bing-Long Zheng.) S.-W. Wong and Y. He are with the College of Information Engineering, Shenzhen University, Shenzhen 518320, China (e-mail: wongsaiwai@ ieee.org). B.-L. Zheng and J.-Y. Lin are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou 510640, China (e-mail:
[email protected]). Z.-C. Zhang is with the College of Electronic and Information Engineering, Jinggangshan University, Ji’an 343009, China. Y. Yang is with the School of Electrical and Data Engineering, University of Technology Sydney, Ultimo, NSW 2007, Australia. L. Zhu is with the Department of Electrical and Computer Engineering, University of Macau, Faculty of Science and Technology, Macau 999078, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2842791
cost of enlarged circuit layout and unneglectable economic cost. This inspires the research for the diplexer miniaturization and cost reduction [7]–[17], including the common resonator technologies [9], [10], compact resonator structures [11]–[13], and multimode technologies [14]–[17]. Noticeably, all the aforementioned works have put significant attentions on miniaturization of a single diplexer, which has incremental benefits for the typical up- and down-conversion systems. Even though the achieved miniaturization techniques have the distinguished features for a standard diplexer system, it is found that these approaches require multiple diplexers to be used for multiplechannel systems, raising an unavoidable issue of complicated system architecture, massive circuit layouts, and, consequently, nonnegligible challenges in terms of system cost control. Therefore, it turns out to be an interesting and effective way of achieving the multifunctional diplexer with compact size into a single circuit structure. In this paper, a highly integrated multifunctional diplexer, namely, three-state diplexer (TSD), is presented and realized using a triple-mode elliptical-shaped resonator for good control of three resonant modes. Taking advantages of the multimode resonator technologies [18]–[21], the overall size of the circuit has been significantly miniaturized by employing the proposed triple-mode elliptical patch resonator. To the best of the authors’ knowledge, this is the first time that the functions of multiple diplexers are realized using a single resonator structure. This TSD has potential application in frequencyhopping communication, as there are three frequency states for frequency-hopping system to choose. The remainder of this paper elaborates with the following arrangement. In Section II, the concept of the integration of three diplexers is introduced and a triple-mode elliptical resonator is presented as a candidate for designing the TSD. Section III demonstrates the designed TSD based on the proposed triple-mode elliptical resonator with the derived empirical formulae for calculating the resonant frequencies. In Section IV, the simulation and measured results are presented. Finally, a conclusion is presented in Section V. II. D ESIGN C ONCEPT A. Topology of TSD Fig. 1(a) depicts the block diagram of the proposed TSD. The proposed TSD has three ports and three filtering elements.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 2.
Top view of planar elliptical triple-mode resonator.
a third-state diplexer operating at f1 and f 2 , simultaneously, while the filtering channel 3 ( f 3 ) is not functioning. Therefore, this circuit topology with different port excitations can have three operational states as a multifunctional diplexer. B. Elliptical Shape Triple-Mode Resonator
Fig. 1. Topologies of the proposed TSD (a) Block diagram. (b) State1, port1 is the common port. (c) State 2, port2 is the common port. (d) State3, port3 is the common port.
The three filtering elements are individually placed between every two neighboring ports. In detail, Filter 1, Filter 2, and Filter 3 are placed between port 1 and port 3, port 2 and port 3, and port 1 and port 2, respectively. Furthermore, the Filter 1, Filter 2, and Filter 3 operate at different passband frequencies f 1 , f 2 , and f 3 , respectively. The TSD is designed with three operation states in the same diplexer circuit, when the port 1, port 2, and port 3 are set as the common ports, respectively, corresponding to the block diagrams, as shown in Fig. 1(b)–(d). The operational principles of the three states can be summarized as follows: State 1: When the port1 is set as the common port, the filtering channel 1 and filtering channel 3 are utilized to construct a first-state diplexer operating at f1 and f 3 , simultaneously, while the filtering channel 2 ( f 2 ) is not functioning. State 2: When the port 2 is set as the common port, the filtering channel 2 and filtering channel 3 are utilized to construct a second-state diplexer operating at f 2 and f 3 , simultaneously, while the filtering channel 1 ( f 1 ) is not functioning. State 3: When the port 3 is set as the common port, the filtering channel 1 and filtering channel 2 are utilized to construct
Fig. 2 presents the top view of the elliptical-shaped triplemode resonator used to implement the function of TSD. The printed circuit board (PCB) with the dielectric constant of 2.55 and the thickness of 0.8 mm is used. The elliptical patch is engineered on the top layer of the PCB with the full metal layer at the backside of the PCB functioning as the ground. The long axis radius and short axis radius of the elliptical patch are named as r1 and r2 , respectively. The electric field distributions of the three elliptical patch resonant modes are presented in Fig. 3. The degenerate mode TM11+ and TM11− of this elliptical resonator are signed as mode 1 and mode 2, and the higher order mode TM21 is assigned as mode 3. The port 1, port 2, and port 3 are put on the particular position as shown in Fig. 2, e.g., port 1 and port 2 are orthogonal to each other along the x- and y-axis, respectively, while port 3 is 45° oriented from x-/y-axis. The three ports excite this triplemode resonator under weak coupling condition. In Fig. 3(a), it can be observed that the electric field χmn C (1) f mn = √ 2πae εr 12 2h πr1 ln + 1.7726 (2) ae = r 1 1 + πr1 εr 2h 12 2h πr2 + 1.7726 ae = r 2 1 + (3) ln πr2 εr 2h 12 2h πr3 ln (4) + 1.7726 ae = r 3 1 + πr3 εr 2h 3r1 r2 + (5) r3 = 4 4 is strong at both port 1 and port 3, but it is very weak at port 2. This implies that the electromagnetic (EM) energy has a channel connecting port 1 and port 3, while port 2 is the isolation port. The EM energy can flow between neither port 1 and port 2, nor port 3 and port 2. In Fig. 3(b), the electric field
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WONG et al.: DESIGN OF TSD USING PLANAR TRIPLE-MODE RESONATOR
Fig. 3.
3
Resonant modes of the proposed elliptical triple-mode resonator. (a) TM11+ . (b) TM11− . (c) TM21 .
TABLE I C OUPLING S TRENGTH B ETWEEN P ORTS AND R ESONANT M ODES
TABLE II PASSBANDS AT E ACH T RANSMISSION PATH
is strong at both port 2 and port 3, but it is very weak at port 1. This implies that the EM energy has a channel connecting port 2 and port 3, while port 1 is the isolation port. The EM energy can flow between neither port 1 and port 3, nor port 1 and port 2. Similarly, in Fig. 3(c), the electric field is strong at both port 1 and port 2, while port 3 is the isolation port, the EM energy cannot flow between port 1 and port 3, or port 2 and port 3. Consequently, the coupling strength corresponding to the ports and resonant modes can be summarized in Table I. Therefore, it can be concluded that there are passband between port 1 and port 3 for mode TM11+ , passband between port 2 and port 3 for mode TM11− , and passband between port 1 and port 2 for TM21 , which can be named as Passband1, Passband2 , and Passband3, respectively. These three passbands locate at the lower, middle, and higher frequency bands, respectively. The relationship among these three passbands and three ports can be concluded in Table II. To study the resonant modes of this elliptical patch resonator, the resonant frequencies of the three resonant modes are first elaborated by the empirical formulas modified from the circular patch resonator [22]. The resonant frequency of the circular patch resonator can be calculated by (1), and the ae in (2)–(4) is the effective radius of the elliptical patch resonator of mode 1, mode 2, and mode 3, respectively. It is worth noting that the (2)–(4) are modified from effective radius formulae of circular patch resonator in [22]. Equations (2) and (3) are modified from the fundamental mode equation of circular patch resonator to calculate the first two resonant frequencies of elliptical patch resonator of TM11+ and TM11− when m = n = 1. Equation (4) is modified from the secondharmonic mode of circular patch resonator to calculate the third resonant frequency of elliptical patch resonator of TM21
Fig. 4. Three resonant frequencies of the elliptical triple-mode resonator. (a) Against the varied r1 , when r2 = 11 mm. (b) Against the varying r2 , when r1 = 14.5 mm.
when m = 2 and n = 1. χmn is the roots of Bessel function. The C is the velocity of light, h is the thickness of the substrate, and the relative permittivity εr is 2.55. According to the roots of Bessel function, the χ11 is 1.841 and the χ21 is 3.054. The r1 and r2 are the long axis radius and short axis radius of the elliptical resonator, respectively. To validate the formula of (1)–(5) for calculating the resonant frequency of mode 1, mode 2, and mode 3 effectively, all these resonant frequencies are numerically extracted by the EM simulations and calculated by (1)–(5), which are reflected on Fig. 4 for comparison. The simulated resonant frequencies of the three resonant modes are found in good agreement with the calculated results. Therefore, the empirical formulas (1)–(5) are validated for calculating the three resonant frequencies of the elliptical resonator.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
transmission zeros located around the resonant frequencies of the mode TM11− , and TM21 . The Isolation1 appears at around the resonant frequency of the mode TM11+ . Though it is not an obvious transmission zero, there is a deep suppression showing an improvement of the isolation between the port1 and port 2 near the frequency of Isolation1. Therefore, the discussion about the passbands in Section II is evidently validated. B. Realization of TSD
Fig. 5.
TSD. (a) Circuit layout. (b) Simulated S-parameters. TABLE III E XTERNAL Q UALITY FACTOR (Q E ) OF T HREE PASSBANDS
III. I MPLEMENTATION OF TSD In this section, there are two parts to elaborate the proposed TSD design based on the elliptical patch resonator. A simple elliptical patch filtering circuit is presented in part A to validate the discussion in Section II. In Section III-B, a slot-cut is engineered on the elliptical resonator to increase the degree of freedom for controlling the third resonant frequency. A. Validation To validate the discussion in Section II, an elliptical resonator fed by three microstrip lines is proposed. Its configuration and simulated scattering parameters are shown in Fig. 5. The triple-mode elliptical patch is designed on the top layer of the PCB. The long axis radius (r1 ) and short axis radius (r2 ) are equal to 16 and 11 mm, respectively. Three passbands between the three ports are achieved as shown in Fig. 5(b), which coincides with the discussion at Section II. The three frequencies of these passbands can be calculated by using (1)–(5). The external quality factor is extracted in each passband which is given in Table III. The synthesis values of three external quality factors are well matched with the extracted one, showing good agreement between them. Meanwhile, the isolation between three ports is shown in Fig. 5(b). In detail, the Isolation2 and Isolation3 have the
It is clearly shown in Fig. 4 that the long axis radius (r1 ) can control the resonant frequency of TM11+ mode and TM21 mode. Meanwhile, the short axis radius (r2 ) can control the resonant frequency of TM11− mode and slightly affect the TM21 mode. There are three frequencies with only two control variables (r1 and r2 ). In order to increase the degree of the freedom to control the third resonant frequency, one more variable is expected. Therefore, a slot-cut is introduced on the elliptical patch, as shown in Fig. 6(a). In this way, there are three independent parameters to control three resonant frequencies. The length of slot-cut (a) can control the resonant frequency of mode TM21 effectively, while slightly affecting the mode TM11+ . Under weak coupling condition, the varying resonant frequencies of the three resonant modes against the parameter r1 , r2 , and a are extracted and shown in Fig. 6(b)–(d), respectively. It is worth noting that there are many ways to open this slot to control the third resonant mode. In this paper, a vertical slot is chosen. This slot is slightly shifted from the center part of the resonator in order to have better inband impedance matching. Herein, the procedure to design the three resonant frequencies of the triple-mode resonator can be concluded in the following with a specification of three passband frequencies f 1 , f 2 , and f 3 . 1) Apply (1)–(5) to calculate dimensions of r1 and r2 according to the given resonant frequencies of f 1 and f 2 . 2) Implement a vertical slot and change the length of the slot a to obtain a desired frequency of f 3 . 3) Optimize the passband frequencies in accordance to the mode charts in Fig. 4 by iterative fine tunings to obtain the desired three passband frequencies. 4) Optimize the performance by adding the tapped structure to the feeding lines and offsetting the slot-cut for impedance matching. IV. R ESULTS AND D ISCUSSION As discussed previously, three evenly distributed passbands are designed and optimized using this proposed method. The circuit layout with marked dimensions is shown in Fig. 7(a). The photograph of the fabricated circuit is shown in Fig. 7(b). The measured and simulated S-parameters are as shown in Fig. 7(c) and (d). The passband between port 1 and port 3 is designed at the 2.8 GHz, namely, Channel1 , while the passband between port 2 and port 3 is designed at the 4 GHz, namely, Channel2 . Finally, the passband between port 1 and port 2 is designed at 5.5 GHz, namely, Channel3 . The measured results are in a good agreement with simulated ones. The measured insertion loss is less than 1.5 dB. Meanwhile, the isolation between different filtering channels is better
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WONG et al.: DESIGN OF TSD USING PLANAR TRIPLE-MODE RESONATOR
Fig. 6. Calculated resonant frequencies of the proposed triple-mode elliptical resonator with slot under weak coupling. (a) Configuration of elliptical resonant with slot cut. (b) Against varied r1 when r2 = 13 mm, a = 6 mm. (c) Against varied r2 when r1 = 18 mm, a = 6 mm. (d) Against varied a when r1 = 18 mm, r2 = 13 mm.
than 12 dB. The three states operational functions can be summarized as follows. State 1: When the port 1 is the common port of the diplexer, the Channel1 and Channel3 can be used as two filtering channels, as shown in Fig. 8(a).
5
Fig. 7. TSD with slot. (a) Circuit layout with marked dimensions. (b) Photography. (c) Insertion loss. (d) Return loss.
State 2: When the port 2 is the common port of the diplexer, the two filtering channels are the Channel2 and Channel3, as shown in Fig. 8(b). State 3: When port 3 is the common port of the diplexer, the two filtering channels are Channel1 and Channel2, as shown in Fig. 8(c).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
R EFERENCES
Fig. 8. TSD. (a) Port1 as the common port. (b) Port2 as the common port. (c) Port3 as the common port.
Therefore, the proposed approach of designing a TSD is validated to be feasible for the implementation of multifunctional diplexer. V. C ONCLUSION In this paper, a new concept that integrates three filtering channels to achieve three states of diplexers on a single resonator circuit is for the first time proposed, namely, TSD. A planar TSD structure based on a planar triple-mode elliptical-shaped resonator was designed and fabricated to validate this concept. The simulation and measured results are well matched to each other, thereby evidently validating the feasibility of the proposed design approached.
[1] A. A. Kirilenko, S. L. Senkevich, V. I. Tkachenko, and B. G. Tysik, “Waveguide diplexer and multiplexer design,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 7, pp. 1393–1396, Jul. 1994. [2] A. R. Brown and G. M. Rebeiz, “A high-performance integrated K-band diplexer,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 8, pp. 1477–1481, Aug. 1999. [3] L. J. Ricardi, “A diplexer using hybrid junctions,” IEEE Trans. Microw. Theory Techn., vol. MTT-14, no. 8, pp. 364–371, Aug. 1966. [4] K. L. Wu and W. Meng, “A direct synthesis approach for microwave filters with a complex load and its application to direct diplexer design,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 5, pp. 364–371, May 2007. [5] H. J. Tang, W. Hong, J.-X. Chen, G. Q. Luo, and K. Wu, “Development of millimeter-wave planar diplexers based on complementary characters of dual-mode substrate integrated waveguide filters with circular and elliptic cavities,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 4, pp. 776–782, Apr. 2007. [6] F.-C. Chen et al., “Design of wide-stopband bandpass filter and diplexer using uniform impedance resonators,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4192–4203, Dec. 2016. [7] A. Morini, T. Rozzi, M. Farina, and G. Venanzoni, “A new look at the practical design of compact diplexers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 9, pp. 3515–3520, Sep. 2006. [8] R. Bairavasubramanian, S. Pinel, J. Laskar, and J. Papapolymerou, “Compact 60-GHz bandpass filters and duplexers on liquid crystal polymer technology,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 237–239, May 2006. [9] M.-L. Chuang and M.-T. Wu, “Microstrip diplexer design using common T-shaped resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 583–585, Nov. 2011. [10] C.-F. Chen, T. Huang, C.-P. Chou, and R. Wu, “Microstrip diplexers design with common resonator sections for compact size, but high isolation,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1945–1952, May 2006. [11] T. Yang, P.-L. Chi, and T. Itoh, “Compact quarter-wave resonator and its applications to miniaturized diplexer and triplexer,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 260–269, Feb. 2011. [12] H. Liu, W. Xu, Z. Zhang, and X. Guan, “Compact diplexer using slotline stepped impedance resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 75–77, Feb. 2013. [13] Y. Dong and T. Itoh, “Substrate integrated waveguide loaded by complementary split-ring resonators for miniaturized diplexer design,” IEEE Microw. Wireless. Compon. Lett., vol. 21, no. 1, pp. 10–12, Jan. 2011. [14] S.-W. Wong, Z.-C. Zhang, S.-F. Feng, F.-C. Chen, L. Zhu, and Q.-X. Chu, “Triple-mode dielectric resonator diplexer for base-station applications,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 3947–3953, Dec. 2015. [15] L. Zhu, R. R. Mansour, and M. Yu, “Compact waveguide dual-band filters and diplexers,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1525–1533, May 2017. [16] F. Cheng, X. Lin, K. Song, Y. Jiang, and Y. Fan, “Compact diplexer with high isolation using the dual-mode substrate integrated waveguide resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 459–461, Sep. 2013. [17] H. S. Peng and Y. C. Chiang, “Microstrip diplexer constructed with new types of dual-mode ring filters,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 1, pp. 7–9, Jan. 2015. [18] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [19] S. W. Wong and L. Zhu, “EBG-embedded multiple-mode resonator for UWB bandpass filter with improved upper-stopband performance,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 421–423, Jun. 2007. [20] S. W. Wong and L. Zhu, “Quadruple-mode UWB bandpass filter with improved out-of-band rejection,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 3, pp. 152–154, Mar. 2009. [21] R. Zhang and L. Zhu, “Synthesis design of a wideband bandpass filter with inductively coupled short-circuited multi-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 10, pp. 509–511, Oct. 2012. [22] R. Garg, P. Bhartia, I. Bahl, and A. Ittipiboon, Microstrip Antenna Design Handbook. Boston, MA, USA: Artech House, 2000, pp. 317–398.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WONG et al.: DESIGN OF TSD USING PLANAR TRIPLE-MODE RESONATOR
Sai-Wai Wong (S’06–M’09–SM’14) received the B.S degree in electronic engineering from the Hong Kong University of Science and Technology, Hong Kong, in 2003, and the M.Sc. and Ph.D. degrees in communication engineering from Nanyang Technological University, Singapore, in 2006 and 2009, respectively. From 2003 to 2005, he was the Leader with the Engineering Department in the mainland of China with two manufacturing companies in Hong Kong. From 2009 to 2010, he was a Research Fellow with the Institute for Infocomm Research, Singapore. Since 2010, he has been an Associate Professor with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, China, where he became a Full Professor. In 2016, he joined the City University of Hong Kong, Hong Kong, as a Visiting Professor. Since 2017, he has been a Full Professor with the College of Information, Shenzhen University, Shenzhen, China. His current research interests include RF/microwave circuit and antenna design. Dr. Wong was a recipient of the New Century Excellent Talents in University Award in 2013 and the Shenzhen Overseas High-Caliber Personnel Level C in 2018. He is a Reviewer for several journals. Bing-Long Zheng was born in Quanzhou, Fujian Province, China. He received the B.E. degree in electronic information engineering from Dalian Maritime University, Dalian, China, in 2015. He is currently pursuing the M.E. degree at the South China University of Technology, Guangzhou, China. His current research interests include RF and microwave components.
Jing-Yu Lin (S’14) received the B.S. degree from Southwest Jiaotong University, Chengdu, China, in 2016. He is currently pursuing the M.Sc. degree at the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, China. Since 2017, he has been an Exchange Student with the University of Technology Sydney, Ultimo, NSW, Australia. His current research interests include microwave cavity circuit design. Zhi-Chong Zhang was born in Ji’an, Jiangxi Province, China, in 1988. He received the B.S. degree in communication engineering from Nanchang University, Nanchang, China, in 2008, the M.E. degree in communication and information system from the East China of Jiaotong University, Nanchang, in 2012, and the Ph.D. degree in electromagnetic waves and microwave technology from the South China University of Technology, Guangzhou, China, in 2015. Since 2016, he has been a Lecturer with the School of Electronic and Information Engineering, Jinggangshan University, Ji’an. His current research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications. Yang Yang (S’11–M’14–SM’18) received the Ph.D. degree from Monash University, Melbourne, VIC, Australia, in 2013. From 2012 to 2015, he was an Asia–Pacific GSP Engineer with Rain Bird, Azusa, CA, USA. From 2015 to 2016, he served as a Senior Research Associate with the Department of Engineering, Macquarie University, Sydney, NSW, Australia. From 2016 to 2016, he was a Research Fellow with the State Key Laboratory of Millimeter-Waves, City University of Hong Kong, Hong Kong. In 2016, he joined the University of Technology Sydney, Ultimo, NSW, Australia, as a Lecturer. His current research interests include microwave and millimeterwave circuits, reconfigurable antennas, wearable antennas, biosensors, and sensing technology. Dr. Yang was a recipient of the 2014 Global GSP Success Award.
7
Lei Zhu (S’91–M’93–SM’00–F’12) received the B. Eng. and M. Eng. degrees in radio engineering from Southeast University, Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993 From 1993 to 1996, he was a Research Engineer with Matsushita–Kotobuki Electronics Industries Ltd., Tokyo. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montréal, Montréal, QC, Canada. From 2000 to 2013, he was an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. In 2013, he joined the Faculty of Science and Technology, University of Macau, Macau, China, as a Full Professor, where he has been a Distinguished Professor since 2016, and also served as the Head of Department of Electrical and Computer Engineering, from 2014 to 2017. He has authored or co-authored over 420 papers in international journals and conference proceedings. His papers have been cited more than 5250 times with the h-index of 39 (source: ISI Web of Science). His current research interests include microwave circuits, guided-wave periodic structures, planar antennas, and computational electromagnetic techniques. Dr. Zhu was a recipient of the 1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention from Matsushita–Kotobuki Electronics Industries Ltd.,. He received the 1993 First-Order Achievement Award in Science and Technology from the National Education Committee, China. He served as a General Chair of the 2008 IEEE MTT-S International Microwave Workshop Series on the Art of Miniaturizing RF and Microwave Passive Components, Chengdu, China, a Technical Program Committee Co-Chair of the 2009 Asia–Pacific Microwave Conference, Singapore, a member of the IEEE MTT-S Fellow Evaluation Committee from 2013 to 2015, and served as the member of IEEE AP-S Fellows Committee from 2015 to 2017. He was an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY A ND T ECHNIQUES from 2010 to 2013 and IEEE M ICROWAVE A ND W IRELESS C OMPONENTS L ETTERS from 2006 to 2012.
Yejun He (SM’09) received the Ph.D. degree in information and communication engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2005. From 2005 to 2006, he was a Research Associate with the Department of Electronic and Information Engineering, The Hong Kong Polytechnic University, Hong Kong. From 2006 to 2007, he was a Research Associate with the Department of Electronic Engineering, Faculty of Engineering, The Chinese University of Hong Kong, Hong Kong. In 2012, he joined the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada, as a Visiting Professor. From 2013 to 2015, he was a Visiting Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, USA. Since 2011, he has been a Full Professor with the College of Information Engineering, Shenzhen University, Shenzhen, China, where he is currently the Director of the Guangdong Engineering Research Center of Base Station Antennas and Propagation and the Shenzhen Key Laboratory of Antennas and Propagation, as well as the Vice Director of Shenzhen Engineering Research Center of Base Station Antennas and Radio Frequency. He has authored or co-authored over 100 research papers, books (chapters), and holds about 20 patents. His current research interests include wireless mobile communication, antennas, and RF. Dr. He is a Fellow of the IET. He has served as a Reviewer for various journals, such as the IEEE T RANSACTIONS ON V EHICULAR T ECHNOLOGY, the IEEE T RANSACTIONS ON C OMMUNICATIONS, the IEEE T RANSAC TIONS ON W IRELESS C OMMUNICATIONS, the IEEE T RANSACTIONS ON I NDUSTRIAL E LECTRONICS , IEEE T RANSACTIONS ON W IRELESS C OM MUNICATIONS , IEEE C OMMUNICATIONS L ETTERS , the IEEE J OURNAL ON S ELECTED AREAS IN C OMMUNICATIONS , International Journal of Communication Systems, Wireless Communications and Mobile Computing, and Wireless Personal Communications. He has also served as a Technical Program Committee member or a Session Chair for various conferences, including the IEEE Global Telecommunications Conference, the IEEE International Conference on Communications, the IEEE Wireless Communication Networking Conference, and the IEEE Vehicular Technology Conference. He is currently serving as an Associate Editor for IEEE A CCESS and Security and Communication Networks.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Compact Chebyshev Differential-Mode Bandpass Filter on λ/4 CPS Resonator With Intrinsic Common-Mode Rejection Lin-Ping Feng , Student Member, IEEE, Lei Zhu , Fellow, IEEE, Songbai Zhang, Member, IEEE, and Xiao Zhang , Member, IEEE Abstract— In this paper, a new class of compact Chebyshev differential-mode (DM) bandpass filters with intrinsic commonmode (CM) rejection is proposed and demonstrated on λ/4 coplanar stripline (CPS) resonators with an improved unloaded quality factor ( Q u ). The distinct advantage of the proposed λ/4 CPS resonator with higher Q u than slotline resonator, together with intrinsic CM rejection of CPS line, can demonstrate that λ/4 CPS resonator is more suitable for the design of narrowband DM filter than others on slotline resonator. Then, the underlying physics why CPS resonator possesses higher Q u than slotline resonator is numerically studied and further interpreted by the radiation loss. To facilitate the design process, an analytical design approach is introduced by using alternative J/K inverters and a tailored method is used to extract J/K inverter values with their associated effective electrical lengths based on their equivalent circuit models. Finally, two design examples, a second-order and a fourth-order DM Chebyshev bandpass filter, are designed and implemented, operating at the center frequency of 1.8 GHz with the fractional bandwidths of 6% and 19%, respectively. The simulated and measured DM/CM frequency responses match well with each other. The proposed DM filters based on λ/4 CPS resonators have demonstrated the claimed superior performances in terms of intrinsic CM rejection, improved unloaded quality factor, easy implementation of J/K inverter, inherent suppression of first DM harmonic, and compact size. Index Terms— Bandpass filter, common-mode (CM) suppression, coplanar stripline (CPS) resonator, differential mode (DM), quarter-wavelength resonator.
I. I NTRODUCTION
R
ECENTLY, innovative design on differential-mode (DM) bandpass filter (BPF) has been an active research topic
Manuscript received October 12, 2017; revised January 3, 2018 and April 11, 2018; accepted May 22, 2018. This work was supported in part by the Macao Science and Technology Development Fund through the FDCT Research under Grant 091/2016/A2 and Grant 051/2014/A1, in part by the University of Macau through the Multi-Year Research under Grant MYRG2017-00007-FST and Grant MYRG2015-00010-FST, and in part by the National Natural Science Foundation of China through the General Program under Grant 61571468. (Corresponding author: Lei Zhu.) L.-P. Feng and L. Zhu are with the Department of Electrical and Computer Engineering, Faculty of Science and Technology, University of Macau, Macau, China (e-mail:
[email protected];
[email protected]). S. Zhang is with Skyworks Solutions, Singapore (e-mail: songbai.zhang@ outlook.com). X. Zhang is with the ATR National Key Laboratory of Defense Technology, College of Information Engineering, Shenzhen University, Shenzhen 518060, China, and also with the Department of Electrical and Computer Engineering, Faculty of Science and Technology, University of Macau, Macau, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2846724
in the exploration of advanced differential communication systems owing to its excellent common-mode (CM) rejection, low electromagnetic interference, and little crosstalk compared with the conventional single-ended filter. Over the past few years, a great number of works have been devoted to develop various DM filters [1]–[31]. Among them, coupled microstrip line (MSL) resonators [1]–[3], complementary split-ring resonators [4], [5], dielectric resonators in a metallic enclosure [6]–[10], and substrate-integrated waveguide [11]–[16] resonators have been utilized for designing a variety of narrowband DM filters. Besides, the branch-line structures with shunt stubs [17], [18], transversal signal-interference technique [19], [24], T-shaped structure [25], and steppedimpedance resonators [26], [27] are proposed to design DM BPFs with desired DM transmission and CM attenuation. However, all the above-mentioned DM filters cannot inherently reject undesired CM signals. To enhance the CM rejection, DM filters based on the slotline resonators have recently drawn increasing attention due to intrinsic CM rejection. In this context, multimode slotline resonator [28], [29], strip-loaded slotline resonators [30], hybrid MSL, and slotline line resonator [31] have been successfully applied to design a variety of wideband DM filters with intrinsic CM rejection. Unfortunately, slotline resonators are more suitable for exploration of wideband DM filters due to lower Q u compared with CPS resonators. Besides, lower Q u will deteriorate insertion loss (IL) of BPF filter, since BPF’s IL is proportional to Q u of resonators. The primary motivation of this paper is to propose a new class of compact Chebyshev DM filters with intrinsic CM rejection based on λ/4 CPS resonators with improved Q u . Compared with a conventional λ/2 slotline resonator, λ/4 CPS resonator has a few significant advantages in terms of intrinsic CM rejection, improved Q u , easy implementation of J /K inverter, inherent first DM harmonic suppression, and compact size. Although previous works have investigated CPS about broadband and compact coupled CPS line single-ended filters [32]–[35], to the best of our knowledge, this is the first time that the λ/4 CPS resonator is proposed to design Chebyshev DM bandpass filters with intrinsic CM rejection. The remainder of this paper will be organized as follows. The CM rejection and improved Q u of CPS resonator will be elaborated in Section II. Section III introduces the design method of the Chebyshev DM bandpass filter based on the
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 1. 3-D views and distinctive electric field distributions of (a) slotline and (b) CPS line.
λ/4 CPS resonator. Sections IV and V present a secondorder and a fourth-order DM BPFs as two design examples to demonstrate a few attractive features of the proposed DM filters, respectively. Last, Section VI concludes this paper. II. F ORMULATION AND A NALYSIS OF λ/4 CPS R ESONATOR In this section, the superior properties of the proposed λ/4 CPS resonator will be investigated in detail. Specifically, the intrinsic CM rejection mechanism of CPS will be elaborated, and underlying physics why CPS resonator has higher Q u than slotline resonator will be further interpreted.
Fig. 2. Conceptual diagrams of resonators. (a) Conventional λ/2 short-ended slotline resonator. (b) Shorted-end λ/2 CPS resonator. (c) λ/4 CPS resonator to be employed in this paper.
B. Improved Unloaded Quality Factor of CPS Resonators The IL of a filter is associated with the resonator’s Q u . At the central operating frequency, IL can be estimated by a closed-form equation [36], [37]
A. Intrinsic CM Rejection of CPS Line Fig. 1(a) and (b) shows the 3-D conceptual diagrams of slotline and CPS line with highly similar electric field distributions exposed to the air as denoted by red arrows, respectively. Herein, the slotline is formed on the middle ground plane on a dielectric substrate, whereas CPS line consists of two parallel strips with equal width separated by a narrow gap on a dielectric substrate. Obviously, their electric field distributions are odd-symmetrical with respect to the y-direction central line of the slotline and CPS line. Thus, as shown in Fig. 1(a) and (b), the symmetry plane with respect to the y-direction is a perfect electric wall. Consequently, both slotline and CPS line can only support DM transmission, whereas they intrinsically reject the CM counterpart. The intrinsic CM rejection of slotline resonator has been demonstrated in [28]–[31]. Accordingly, our proposed DM filter based on the λ/4 CPS resonator can intrinsically reject CM transmission as well, and it will be further demonstrated later on. Thus, only the DM performance of DM filters on the λ/4 CPS resonator is left to be focused on in this paper.
IL = 4.343
n k=1
c gi (dB) FBWQu
(1)
where gi (i = 1, 2, . . .) are the low-pass prototype elements, and FBW is the fractional bandwidth. Therefore, by utilizing the resonator’s higher Q u , lower IL of BPFs can be achieved. Q u of a resonator is derived as 1 1 1 1 = + + Qu Qd Qc Qr
(2)
where Q d , Q c , and Q r are the unloaded quality factors due to the dielectric loss, conductor loss, and radiation loss, respectively. Then, as shown in Fig. 2(b), the λ/2 CPS resonator is numerically investigated in Ansoft HFSS to draw a comprehensive conclusion, i.e., CPS resonator with higher Q u than slotline resonator. Herein, the thickness of copper conductor is set to be 35 m, permittivity εr is 10.7, and loss tangent of dielectric is tanδ = 0.0023. As depicted in Fig. 3(a), Q u is progressively lowered down as strip width wt is increased from 1 to 10 mm. It should be noted that
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. FENG et al.: COMPACT CHEBYSHEV DM BANDPASS FILTER ON λ/4 CPS RESONATOR
Fig. 3. (a) Unloaded quality factor of λ/2 CPS resonator with respect to wt for different values of wc . (b) Comparison in unloaded quality factor among three resonators of λ/2 CPS resonator, λ/4 CPS resonator, and λ/2 slotline resonator.
when the conductor strip width wt is increasingly enlarged, the CPS resonator will gradually degrade into a slot resonator. Therefore, Q u is reduced when wt is increased. In addition, Fig. 3(b) shows the comparison in the extracted Q u of λ/4 CPS resonator, λ/2 CPS resonator, and λ/2 slotline resonator, when wt = 3 and 10 mm under a varied wc . As can be seen, the λ/4 CPS resonator and the λ/2 CPS resonator hold higher Q u than the λ/2 slotline resonator. Herein, we can draw a conclusion that the λ/4 CPS resonator is more suitable for designing narrowband DM filters by virtue of the improved Q u , and the miniaturized size against a λ/2 slotline resonator and λ/2 CPS resonator. Then, the underlying physics of CPS resonator with higher Q u can be interpreted in terms of current distribution. Q u of CPS and slot resonators is mainly determined by the radiation loss, and the radiation is heavily dependent on the surface current distribution on the conductor. In the ideal case, the ground size of a slot resonator should be infinite, and it is usually set as electrical large in practical implementation. The current distribution of the slot resonator is depicted in Fig. 4(a), and it is odd-symmetric with respect to the y-direction central line of the slot. The in-phase x-oriented portion of surface currents at the two longitudinal sides of slot mainly accounts for the radiation, which finally results in lower Q u of slot resonator than CPS resonator.
3
Fig. 4. (a) Current distribution on λ/2 slotline resonator. (b) Current distribution on the λ/2 CPS resonator. (c) Current distribution on the λ/4 CPS resonator.
However, if the ground size is significantly reduced, the slot resonator will degrade into a λ/2 CPS resonator. In this case, the in-phase current for radiation will be dramatically shrunk and most of the current portion is forced to circulate around the slot, as shown in Fig. 4(b) [38]. Due to the close spacing and odd-symmetric distribution, the far fields radiated by the currents on the two strips will almost cancel with each other. As a result, the radiation loss is reduced, and Q u of λ/2 CPS resonator is increased. Therefore, the current reshaping caused by a narrowed strip width is the reason why λ/2 CPS resonator exhibits higher Q u than sloline resonator. As it is similar to the case of λ/2 CPS resonator mentioned earlier, the λ/4 CPS resonator also has higher Q u than the slotline resonator duo to reshaped current distribution.
III. D ESIGN M ETHOD AND E XTRACTED J/K I NVERTER A. Design Method Fig. 5 presents the symmetrical Chebyshev nth-order filters with J /K inverters and λ/4 CPS resonators. These filters are formed by the sequential K 01 − J12... − J12 − K 01 coupling topologies. For the case where n is an odd integer, its design equation can be easily found in the filter textbook. Besides,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 5. Schematics of the proposed nth-order symmetrical DM bandpass filters based on λ/4 CPS resonators.
the symmetrical nth-order (i.e., n is an even integer) Chebyshev BPF can be designed based on [39]. B. Extracted J/K Inverters and Equivalent Circuit Models For DM filter topologies in Fig. 5, the adjacent λ/4 CPS resonators are coupled by J or K inverter. The capacitive gap functions as aJ inverter in Fig. 6(a), while inductive shortcircuited strip functions as a K inverter in Fig. 6(b). Fig. 6(c) shows the circuit model of J/K inverters. By transforming this model to its associated π network, J/K inverters’ values and their associated effective lengths can be extracted from the full-wave simulated two-port S parameters as follows [40]: sin φ41 − b¯11 cos φ41 J (3a) √ = Y1 Y2 b¯12 sin φ42 b¯12 sin φ42 K (3b) √ = Z1 Z2 sin φ41 − b¯11 cos φ42 ¯ 2(b¯11 + b¯ 22 |b|) −1 φ1 = n 1 π + tan (3c) 2 − b¯ 2 − |b| ¯2 1 + b¯22 11 ¯ 2(b¯22 + b¯11 |b|) −1 φ2 = n 2 π + tan . (3d) ¯2 1 + b¯ 2 − b¯ 2 − |b| 11
22
Based on their equivalent circuit models, the J/K inverter values associated with their effective lengths can be effectively extracted by a commercial full-wave simulator. IV. DM S ECOND -O RDER F ILTER ON λ/4 CPS R ESONATOR Based on the previous discussion, a prescribed second-order symmetrical DM Chebyshev bandpass filter prototype on a λ/4 CPS resonator with a center frequency of fo = 1.80 GHz, an FBW of 6%, and a return loss level of 19 dB is designed, implemented, and verified. The used substrate is Roger’s RT/Duriod 6010 LM with εr = 10.7, tanδ = 0.0023, and thickness of 0.635 mm. Based on (3), the required normalized J12 values can be determined as K 01 /(Z o Z L )1/2 = 0.257, −J12 /Yo = 0.074. In this design, the strip width wt and narrow gap wc are chosen as 2.8 and 1.2 mm, respectively. The portion of MSL-to-CPS transition functions as K 01, as shown in Fig. 7. Fig. 8(a) and (b), shows the extracted normalized K 01 and effective electrical lengths of K 01 with respect to a different tap feed position t,while Fig. 8(c) and (d) shows the extracted normalized J12 and effective electrical lengths of J12 as a function of a different coupling gap do . A slight fine-tuning process is needed to account for parasitic effects such as discontinuities and frequency dispersion. Thus, the taped feed position t is chosen as 2.83 mm, and the effective electrical lengths λ/4 CPS resonator can be found as 83.1 (90−1.5−5.4).
Fig. 6. (a) Top/bottom view ofacapacitive gap on CPS. (b) Top/bottom view of the short strip on CPS. (c) Equivalent network. (d) Equivalent J inverter network. (e) Equivalent K inverter network.
Fig. 7.
Tapped differential feeding for exciting the λ/4 CPS resonator SIR.
Based on the above-described procedure, a second-order symmetrical Chebyshev bandpass filter based on λ/4 CPS resonators is designed, fabricated, and measured. The simulation
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. FENG et al.: COMPACT CHEBYSHEV DM BANDPASS FILTER ON λ/4 CPS RESONATOR
5
Fig. 9. Manufactured second-order prototype DM filter. (a) Layout [illustrated dimensions (in mm): do = 1.9, wc = 1.2, wt = 2.8, t = 2.83, w1 = 0.55, and l1 = 18.05]. (b) Top view of photograph. (c) Bottom view of photograph.
Fig. 8. (a) Extracted normalized values K 01 . (b) Effective electrical lengths of K 01 . (c) Extracted normalized J 12 with a different coupling gap do . (d) Effective electrical lengths of J12 with a different coupling gap do .
results are based on a commercial full-wave simulator, Ansoft HFSS, and the measured ones are obtained by using the fourport vector network analyzer R&S ZNB20. Fig. 9(a) shows its
top-view layout with the critical dimensions, whereas Fig. 9(b) shows the top-/bottom-view photographs of the constructed circuit. Herein, two pairs of ports, i.e., Port 1-1 and Port 2-2 , are utilized as two differential ports in our analysis and measurement. Fig. 10(a) shows the three sets of DM frequency responses, which are derived from the theory, full-wave simulation results, and measurement of the fabricated filter, respectively. In the DM passband, the theoretical and full-wave simulated responses match well with each other. Minimal measured inband IL is 1.58 dB, and maximal return loss is 13.8 dB. Two transmission poles can be clearly observed in the passband. Since the DM filter is designed based on λ/4 resonators, the first spurious harmonic appears at 5.48 GHz, i.e., 3.11 fo . Fig. 10(b) shows the simulated and measured CM frequency responses. The measured CM attenuation is better than 52.6 dB
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 10. (a) Comparison among the simulated, theoretical, and measured DM S parameters. (b) Simulated and measured CM S parameters.
in the entire DM passband and better than 36.37 dB in a wide frequency range. Both measured and simulation results confirm highly suppressed in-band CM frequency responses. It should be noted that, in design of either the secondorder or fourth-order DM filter, the first and last λ/4 resonators with a tapped feeding scheme by the MSL are not yet an ideal λ/4 CPS resonator, and they bring out additional losses to the IL of the filters, yielding the unexpected difference between the measurement-extracted Q u and the numerically simulated Q u . In addition, the MSL-to-CPS will excite a small amount of CM mode. Since the CM transmission coefficient of the MSL-to-CPS transition cannot be directly obtained with simulation, we have tried to extract it in an indirect procedure via back-to-back structure. To begin with, let us consider the four-port network depicted in Fig. 11(a), where two back-toback MSL-to-CPS transitions are connected by a CPS line with length of li (i = 1 and 2). At the first step, simulation is carried out with two different line lengths of l1 and l2 , and the relevant simulated CM transmission coefficient of the whole I , respectively. According network is denoted as Scc12 and Scc12 to the equivalent topology in Fig. 11(b) and the signal flow graph in Fig. 11(c), the CM transmission coefficients can be
Fig. 11. (a) Geometry of back-to-back MSL-to-CPS transition connected by CPS line with a length li , where i is 1 or 2. (b) Equivalent cascaded topology. (c) Signal flow graph. (d) CM mode parameter of single MS to CPS transition.
expressed as follows [41]: I Scc12 = Scc12 =
2 e −γ l1 S12
(4a)
2 e −2γ l1 1 − S22 2 e −γ l2 S12
2 e −2γ l2 1 − S22
.
(4b)
Using (4a) and (4b), the square of the CM transmission coefficient of each MSL-to-CPS transition, i.e., |Scc21 |2 , can derived as 2 Scc12 =
SI −2γ l2 − S I −2γ l1 Scc12 cc12 e cc12 Scc12 e e −γ l1 −2γ l2 − S I −2γ l1 −γ l2 Scc12 cc12 e
.
(5)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. FENG et al.: COMPACT CHEBYSHEV DM BANDPASS FILTER ON λ/4 CPS RESONATOR
7
Fig. 13. (a) Manufactured fourth-order prototype DM filter. (a) Layout [illustrated dimensions (in mm): do = 0.65, ds = 0.2, wc = 1.2, wt = 3, t = 4.38, w1 = 0.55, l1 = 39.9, and l2 = 16.35]. (b) Top view of photograph. (c) Bottom view of photograph.
in Fig. 11(d), the CM rejection of the MSL-to-CPS transition satisfactorily exceeds 20 dB in the DM passband near 1.8 GHz. Since the CM signal will be further suppressed by the CPS line. Therefore, the transition and the CPS line together contribute to the high CM rejection of the filter. Thus, the impact of CM mode suppression of the MSL-to-CPS transition on the CM performance of our proposed DM filter can be ignored. V. DM F OURTH -O RDER F ILTERS ON λ/4 CPS R ESONATOR
Fig. 12. (a) Extracted normalized values J12 with a different coupling gap do . (b) Effective electrical lengths of J12 with a different coupling gap do . (c) Extracted normalized values K 23 with a different coupling gap ds . (d) Effective electrical lengths of K 23 with a different coupling gap ds .
With the use of (5), the single MSL-to-CPS transition is determined from the simulation results of two back-to-back structures with different connecting line lengths. As shown
By applying the same design and extraction procedure, a fourth-order DM Chebyshev filter is designed with f o = 1.8 GHz, an FBW of 19%, and a return loss level of 16 dB in the passband. The required J /K values can be determined as K 01 /(Z o Z L )1/2 = 0.362, −J12 /Yo = 0.122, and K 23 /Z o = 0.974. For the same substrate used earlier, the strip width wt and the narrow gap wc are chosen as 3.8 and 1.2 mm, respectively. K 01 and its associated electrical lengths can be found in Fig. 8(a) and (b). The capacitive coupling gap do for J12 is fixed as 0.65 mm, whereas inductive coupling short-circuited strip width for K 12 is chosen as 0.2 mm. As shown in Fig. 12(a)–(d), the values of J12 and K 12 and their associated effective lengths are equal to 6.51 and 8.87, respectively. The tapped feed position t is determined as 4.37 mm based on Fig. 7(a). Next, the initial electrical
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
VI. C ONCLUSION In this paper, a new class of compact Chebyshev DM bandpass filters with intrinsic CM rejection has been proposed and demonstrated based on the λ/4 CPS resonator with improved Q u . Then, a design approach is introduced to facilitate the design process. A second-order and a fourth-order DM Chebyshev bandpass filter prototypes on λ/4 CPS resonators, as design examples, have been designed and measured to demonstrate the claimed superior performances of our proposed DM filters. Both the simulated and measured DM/CM frequency responses further verify a few attractive features of our presented DM filter in terms of intrinsic CM rejection, improved unloaded quality factor, easy implementation of J/K inverter, inherent suppression of first DM harmonic, and compact size. R EFERENCES
Fig. 14. (a) Comparison among the simulated, theoretical, and measured DM S parameters. (b) Simulated and measured CM S parameters.
lengths for the first, second, third, and fourth resonators are calculated as 77.25 (90−6.1−6.50), 74.63 (90−6.50−8.87), 74.63 (90−6.50−8.87), and 77.25 (90−6.1− 6.50), respectively. Then, the initial physical layout of the filter can be determined, and the final layout is displayed in Fig. 13(a) after minor fine-tuning. Fig. 13(b) and (c) shows the top/bottom view of the fabricated fourth-order DM Chebyshev bandpass filter. The theoretical, simulation, and measured DM results are plotted and compared in Fig. 14(a). The simulation and measured results DM responses agree well with each other over a wide frequency range. The simulated return loss is higher than 16 dB within its 19% passband, and the four transmission poles are clearly demonstrated. However, due to the inaccurate substrate permittivity, the unexpected fabrication tolerance and transition losses in the measurement and the measured in-band return and ILs are 10.42 and 1.85 dB, respectively. But, as theoretically predicted, its first spurious response really occurs at 5.13 GHz, i.e., 2.88 fo as theoretically predicted. As shown in Fig. 14(b), measured CM attenuation is larger than 45.3 dB over a wide frequency range of 0–5.1 GHz, whereas the CM rejection level is better than 56 dB in the core passband.
[1] C.-H. Wu, C.-H. Wang, and C.-H. Chen, “Balanced coupled-resonator bandpass filters using multisection resonators for common-mode suppression and stopband extension,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 8, pp. 1756–1763, Aug. 2007. [2] C.-H. Wu, C.-H. Wang, and C. H. Chen, “Novel balanced coupled-line bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 2, pp. 287–295, Feb. 2007. [3] T. F. Yan, D. Lu, J. F. Wang, and X.-H. Tang, “High-selectivity balanced bandpass filter with mixed electric and magnetic coupling,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 6, pp. 398–400, Jun. 2016. [4] J. Naqui et al., “Common-mode suppression in microstrip differential lines by means of complementary split ring resonators: Theory and applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3023–3034, Oct. 2012. [5] H. R. Zhu and J. F. Mao, “An ultra-wideband common-mode suppression filter based on S-DBCSRR for high-speed differential signals,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 4, pp. 226–228, Apr. 2015. [6] J.-X. Chen, Y. Zhan, W. Qin, Z.-H. Bao, and Q. Xue, “Novel narrowband balanced bandpass filter using rectangular dielectric resonator,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 289–291, May 2015. [7] J.-X. Chen, Y. Zhan, W. Qin, Z.-H. Bao, and Q. Xue, “Analysis and design of balanced dielectric resonator bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1476–1483, Mar. 2016. [8] J.-X. Chen, J. Li, Y. Zhan, W. Qin, J. Shi, and Z.-H. Bao, “Design of balanced and balun filters using dual-mode cross-shaped dielectric resonators,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1226–1234, Apr. 2017. [9] J. Li, Y. Zhan, W. Qin, Y. L. Wu, and J.-X. Chen, “Differential dielectric resonator filters,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 4, pp. 637–645, Apr. 2017. [10] Q.-Y. Lu, W. Qin, and J.-X. Chen, “A novel balanced bandpass filter based on twin-coaxial resonator,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 2, pp. 114–116, Feb. 2017. [11] X. Xu, J. P. Wang, and L. Zhu, “A new approach to design differentialmode bandpass filters on SIW structure,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 635–637, Dec. 2013. [12] P. Chu et al., “Balanced substrate integrated waveguide filter,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 824–831, Apr. 2014. [13] Y. Shen, H. Wang, W. Kang, and W. Wu, “Dual-band SIW differential bandpass filter with improved common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 2, pp. 100–102, Feb. 2015. [14] K. Zhou, W. Kang, and W. Wu, “Compact dual-band balanced bandpass filter based on double-layer SIW structure,” Electron. Lett., vol. 52, no. 18, pp. 1537–1539, Sep. 2016. [15] P. Li, H. Chu, D. Zhao, and R. S. Chen, “Compact dual-band balanced SIW bandpass filter with improved common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp. 347–349, Apr. 2017.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. FENG et al.: COMPACT CHEBYSHEV DM BANDPASS FILTER ON λ/4 CPS RESONATOR
[16] P. Li, H. Chu, and R.-S. Chen, “Design of compact bandpass filters using quarter-mode and eighth-mode SIW cavities,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 7, no. 6, pp. 956–963, Jun. 2017. [17] T. B. Lim and L. Zhu, “Differential-mode ultra-wideband bandpass filter on microstrip line,” Electron. Lett., vol. 45, no. 22, pp. 1124–1125, Oct. 2009. [18] T. B. Lim and L. Zhu, “A differential-mode wideband bandpass filter on microstrip line for UWB application,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 632–634, Oct. 2009. [19] X.-H. Wu, Q.-X. Chu, and L.-L. Qiu, “Differential wideband bandpass filter with high-selectivity and common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 644–646, Dec. 2013. [20] Q.-X. Chu and L.-L. Qiu, “Wideband balanced filters with high selectivity and common-mode suppression,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3462–3468, Oct. 2015. [21] B. Zhang, Y. Wu, and Y. Liu, “Wideband single-ended and differential bandpass filters based on terminated coupled line structures,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 761–774, Mar. 2017. [22] X.-H. Wang, Q. Xue, and W.-W. Choi, “A novel ultra-wideband differential filter based on double-sided parallel-strip line,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 471–473, Aug. 2010. [23] H. T. Zhu, W. J. Feng, W. Q. Che, and Q. Xue, “Ultra-wideband differential bandpass filter based on transversal signal-interference concept,” Electron. Lett., vol. 47, no. 18, pp. 1033–1035, Sep. 2011. [24] L. Li, J.-X. Yang, H. Li, T.-H. Zhang, J.-J. Wu, and Z.-Y. Wang, “A wideband microstrip common-mode suppression filter using signal interference techniques,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 4, pp. 341–343, Apr. 2017. [25] W. Feng and W. Che, “Novel wideband differential bandpass filters based on T-shaped structure,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1560–1568, Jun. 2012. [26] M. Sans et al., “Automated Design of common-mode suppressed balanced wideband bandpass filters by means of aggressive space mapping,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 3896–3908, Dec. 2015. [27] P. Vélez et al., “Ultra-compact (80 mm2 ) differential-mode ultrawideband (UWB) bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1272–1280, Apr. 2015. [28] X. Guo, L. Zhu, K.-W. Tam, and W. Wu, “Wideband differential bandpass filters on multimode slotline resonator with intrinsic commonmode rejection,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 2, pp. 1587–1594, May 2015. [29] D. Chen, H. Bu, L. Zhu, and C. Cheng, “A differential-mode wideband bandpass filter on slotline multi-mode resonator with controllable bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 1, pp. 28–30, Jan. 2015. [30] X. Guo, L. Zhu, and W. Wu, “Strip-loaded slotline resonators for differential wideband bandpass filters with intrinsic common-mode rejection,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 2, pp. 450–458, Feb. 2016. [31] X. Guo, L. Zhu, and W. Wu, “Balanced wideband/dual-band BPFs on a hybrid multimode resonator with intrinsic common-mode rejection,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 7, pp. 1997–2005, Jul. 2016. [32] N. Yang, C. Caloz, Z. N. Chen, and K. Wu, “Broadband and compact double stepped-impedance CPS filters with coupled-resonance enhanced selectivity,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 755–758. [33] N. Yang, C. Caloz, K. Wu, and Z. N. Chen, “Broadband and compact coupled coplanar stripline filters with impedance steps,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2874–2886, Dec. 2007. [34] A. Taslimi and K. Mouthaan, “Wideband filters using via-less endconnected broadside coupled asymmetric coplanar striplines,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, USA, May 2016, pp. 1–3. [35] A. Taslimi and K. Mouthaan, “Compact Ka band filter in 0.13 μm CMOS using broadside coupled coplanar stripline,” in Proc. Eur. Microw. Integr. Circuits Conf., Nuremberg, Germany, Oct. 2013, pp. 29–32. [36] J.-S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001.
9
[37] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-matching Networks, and Coupling Structures. New York, NY, USA: McGraw-Hill, 1964. [38] J. D. Kraus, and R. J. Marhefka, Antennas for All Applications. New York, NY, USA: McGraw-Hill, 2003. [39] S. Zhang and L. Zhu, “Synthesis method for even-order symmetrical Chebyshev bandpass filters with alternative J /k inverters and λ/4 resonators,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 808–816, Feb. 2013. [40] L. Zhu and K. Wu, “Accurate circuit model of interdigital capacitor and its application to design of new quasi-lumped miniaturized filters with suppression of harmonic resonance,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 3, pp. 347–356, Mar. 2000. [41] D. M. Pozar, Microwave Engineering, 2nd ed. New York, NY, USA: Wiley, 1998.
Lin-Ping Feng (S’16) received the M.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2015. He is currently pursuing the Ph.D. degree in electrical and computer engineering at the University of Macau, Macau, China. His current research interests include synthesis microwave circuits, antenna technology, and millimeter-wave monolithic integrated power amplifiers.
Lei Zhu (S’91–M’93–SM’00–F’12) received the B.Eng. and M.Eng. degrees in radio engineering from Southeast University, Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita-Kotobuki Electronics Industries Ltd., Tokyo. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montreal, Montreal, QC, Canada. From 2000 to 2013, he was an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. In 2013, he joined the Faculty of Science and Technology, University of Macau, Macau, China, as a Full Professor, where he has been a Distinguished Professor since 2016. From 2014 to 2017, he was the Head of the Department of Electrical and Computer Engineering, University of Macau. He has authored or co-authored over 430 papers in international journals and conference proceedings. His papers have been cited over 5480 times with the H-index of 41 (source: ISI Web of Science). His current research interests include microwave circuits, guided-wave periodic structures, planar antennas, and computational electromagnetic techniques. Dr. Zhu served as a member of the IEEE MTT-S Fellow Evaluation Committee from 2013 to 2015 and the IEEE AP-S Fellows Committee from 2015 to 2017. He was a recipient of the1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention from Matsushita–Kotobuki Electronics Industries Ltd., and the 1993 First-Order Achievement Award in Science and Technology from the National Education Committee, China. He was the Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2010 to 2013 and IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS from 2006 to 2012. He served as the General Chair of the 2008 IEEE MTT-S International Microwave Workshop Series on the Art of Miniaturizing RF and Microwave Passive Components, Chengdu, China, and a Technical Program Committee Co-Chair of the 2009 Asia–Pacific Microwave Conference, Singapore.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
Songbai Zhang (S’11–M’14) received the B.Eng. and Ph.D. degrees in electrical and electronics engineering from Nanyang Technological University, Singapore, in 2010 and 2014, respectively. From 2013 to 2015, he was with the Institute of Microelectronics, Agency for Science, Technology and Research, Singapore. Since 2015, he has been a Senior RFIC Design Engineer with Skyworks Solutions, Singapore, where he is involved in mobile transceiver front-end power amplifier and antenna switch module design. His current research interests include RF/microwave passive devices, such as filters and antennas, advanced 3-D integrated circuit packages, and RF integrated circuits. Dr. Zhang was a recipient of the Ministry of Education Scholarship, Singapore, from 2006 to 2010, the NTU Research Scholarship from 2010 to 2014, and the Best Student Paper Award from the 2013 International Wireless Symposium, Beijing, China. He has served as a Reviewer for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES and IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS .
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Xiao Zhang (S’15–M’18) was born in Gaozhou, Guangdong, China. He received the B.Eng. degree in information engineering and M.Eng. degree in communication and information systems from the South China University of Technology, Guangzhou, China, in 2011 and 2014, respectively, and the Ph.D. degree in electrical and computer engineering from the University of Macau, Macau, China, in 2017. From 2012 to 2014, he was a Research Assistant with Comba Telecom Systems Limited, Guangzhou. In 2018, he joined the Antenna and ElectromagneticWave Laboratory, University of Macau, as a Research Fellow. He is currently an Assistant Professor with the College of Information Engineering, Shenzhen University, Shenzhen, China. His current research interests include planar antennas and microwave circuits.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Triple-Band Cavity Bandpass Filters Li Zhu , Raafat R. Mansour, Fellow, IEEE, and Ming Yu , Fellow, IEEE
Abstract— This paper presents a new class of cavity-based triple-band filters employing resonators that support three operation modes. The proposed triple-band filters do not require junctions and can achieve an equivalent Chebyshev performance with fewer cavities, thus significantly reducing the footprint when compared to traditional approaches. The filter facilitates a systematic design approach starting from the coupling-matrix generation to the overall response optimization. The concept is potentially applicable to most triple-mode resonators and is demonstrated in this paper using waveguide and dielectric resonators. The first design is an inline structure employing elliptical waveguide cavities, whereas the second design is a folded structure using rectangular waveguide cavities. The third design is implemented by dielectric loaded cavity resonators. All the proposed triple-band filter designs offer high Q and allow for independent control of each frequency and coupling parameter. To verify the concept, three triple-band filter examples with different realizations are designed, manufactured, and tested to allow comparisons. Index Terms— Dielectric resonator, elliptical waveguide, quality factor, rectangular waveguide, spurious-free window, transmission zero, triple-band filter, triple-mode resonator.
I. I NTRODUCTION
T
HE RAPID evolution of communication technology has driven a growing demand for multifunctional and multiband filtering systems capable of processing a large amount of data [1]–[4]. In satellite communication systems, a multiband filter can be potentially used to transmit multiple noncontiguous channels to the same geographic region through one beam. Similarly, in a wireless system, multiband filters cooperate with multiband amplifiers, transmitting and receiving signals of multiple carries through one antenna. Such simplified system architectures enable the transponder design with the increased number of channels, thus improving the system efficiency. To cope with high power requirement while maintaining the low insertion loss (IL) and compact layout, the cavity-based multiband filter has become an optimum solution. Dual-band cavity filters have been realized with different technologies such as combline [5], dielectric [6], and waveguide structures [7], [8]. However, the realization of the triple-band filter
Manuscript received February 18, 2018; accepted May 16, 2018. (Corresponding author: Li Zhu.) L. Zhu is with Telesat Canada, Ottawa, ON K1B 5P4, Canada (e-mail:
[email protected]). R. R. Mansour is with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON N2L 3G1, Canada (e-mail:
[email protected]). M. Yu is with the Department of Electronic Engineering, The Chinese University of Hong Kong, Hong Kong (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2846725
Fig. 1. Triple band filter realizations. (a) Circulator channel-dropping method. (b) Dual-manifold method. (c) Bandpass and bandstop filter cascading method. (d) In-band TZs method.
has been limited to planar or multilayer structures [9], [10]. This is attributed to the challenge of collecting and controlling three distinct signal paths when dealing with cavity resonators. In general, there are four potential approaches to realize a triple-band filter in a cavity structure. 1) Implementing the conventional approach, which can be realized either by using channel-dropping components (e.g., circulators) connecting three classical single-band filters as shown in Fig. 1(a) or by using a multiplexer concept, as shown in Fig. 1(b). In both configurations, the signal is channelized and then combined at the output. The circulator configuration is amenable to
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 2. Equivalent circuit of the proposed triple-band filter (TR: triple-mode resonator).
a modular concept and the design is simple without the need of optimization. The system provides the highest degree of the channel-to-channel isolation at the cost of increased IL, mass, and footprint due to the many extra components. The multiplexer configuration offers an improved IL and group delay performances but with a less flexibility to realize the channel-to-channel phasing (θ1 − θn ). 2) Cascading a wideband bandpass filter with two narrowband bandstop filters, as shown in Fig. 1(c). This configuration also has the advantage of modularity and simplicity of design, however, is limited to the applications of narrow-passband separations between channels. The challenge is to maintain good return loss (RL) on both low and high passbands when having a wide stopband between the channels. 3) Creating multiple in-band transmission zeros (TZs) in a high-order bandpass filter to split single band to triple bands. The channel filter bandwidths (BWs) and the passband separations are controlled by the position of TZs. There are two ways to realize the TZs either by cross coupling or bandstop resonators [in Fig. 1(d)]. Both ways can be represented as a coupling matrix through synthesis methods reported in [2] and [4]. The topology is equivalent to combining three single-band lower order filters to construct a triple-band feature, employing the same number of resonators as using three single-band filters. The size saving in comparison with the conventional approaches shown in Fig. 1(a) and (b) is not significant. In addition, this method is not suitable for the cases of wide frequency separations between channels because certain coupling values tend to be too large to be realized physically. 4) The use of triple-mode resonator structures while controlling three dominant resonant modes to coincide with the center frequencies (CF) of the three designated passbands. In Fig. 2, the three paths of signals are carried by three designated modes and the channel paths are considered as noninteracting. A clear advantage of this approach is a dramatic saving in footprint and volume while not sacrificing the quality factor (Q). The challenge is to properly place resonators and irises so that appropriate coupling in the structure can be established. Because the coupling values in this case relate to the channel BW only instead of the overall BW, this method is capable to support much wider frequency separations between channels. A potential limitation of this method
is when the channel passbands are closer, the interaction between the three modes will increase, resulting undesired stray coupling in the structure. Therefore, such a configuration is not preferable to the circumstances where narrow frequency separations are between the passbands (e.g., less than one BW). Another challenge of this configuration is to realize cross coupling for each passband due to complicated self- and sequentialcoupling mechanisms in/between resonators. The tripleband filter implementations in this paper are all based on Chebyshev filter functions. The triple-band filters proposed in this paper are based on the triple-mode resonator (as in approach 4), implemented with elliptical waveguide resonators, rectangular waveguide resonators, and dielectric-loaded cavity resonators. The coupling matrix of the triple-band filter can be synthesized through optimization based on the coupling matrices of channel filters without considering the manifold and phase factors. The optimized coupling matrix for the overall filter is then divided into three subchannel matrices for electromagnetic (EM) design and optimization. This paper is organized as follows. In Section II, a practical and fast coupling matrix synthesis method for the proposed triple-band filter is introduced. In Section III, an in-line design of triple-band filters based on elliptical triple-mode cavities is presented. Sections IV and V provide the updated details of the triple-band filters based on rectangular waveguide cavities and dielectric resonators, beyond the brief disclosure in [11] and [12]. Measured results are presented and compared with simulated counterparts in all designs. A comparison of all three realizations and a general design flow of the proposed triple-band filter are summarized in Section VI. II. E QUIVALENT C IRCUIT AND C OUPLING M ATRIX The equivalent circuit of proposed triple-band filters in terms of inverters and resonators is shown in Fig. 2. In each triple-mode resonator, the three modes are aimed to carry the signal of three designated passbands, respectively. The three parallel signal paths are connected to the input and output nodes, considered as noninteracted when the three frequencies are sufficiently far apart from each other. As a result, each path can be designed separately. The coupling matrix of the equivalent circuit in Fig. 2 to yield a specific response can be determined by the singleband filter synthesis technique [13] and optimizations. As an example, we consider a nine-pole triple-band filter with the CFs of 3.8, 4, and 4.2 GHz. The BW is 20 MHz and the RL is 30 dB for all channels. The coupling matrix of the tripleband filter can be generated by the following steps. 1) Perform a classic coupling matrix synthesis approach [13] to the middle channel (i.e., 4 GHz) as a single filter. The low and high channels are then normalized to CF and BW of the middle channel by the following equations: fi 1 f0 × (1) − Mii = − FBW f0 fi f0 (2) Mi j _low_high = Mi j _mid × fi
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHU et al.: TRIPLE-BAND CAVITY BANDPASS FILTERS
3
TABLE I C OUPLING M ATRIX PARAMETERS OF THE T HREE C HANNELS
where FBW = 20/4000 MHz = 0.005, and f 0 = 4 GHz. Mi j _mid and Mi j _low_high are the sequential coupling parameters of the middle and the high/low channels. The N + 2 coupling matrix parameters of three channels are listed in Table I. 2) Combine the three N +2 coupling matrices from Table I and achieve a large-scale 3N + 2 coupling matrix (3), shown at the bottom of this page, for the triple-band filter. Resonators 1–3, 4–6, and 7–9 in the combined matrix are corresponding to the resonators for the low, middle, and high channels. The performance of the initial 3N + 2 coupling matrix is shown in Fig. 3. It should be noted that all the coupling elements shown in (3) are normalized to 4 GHz as evident from the values of the diagonal elements in Table I. 3) Perform a numerical optimization to the initial 3N + 2 coupling matrix toward the required RF responses. The optimized 3N + 2 coupling matrix and S parameters are shown in (4), shown at the bottom of this page, and Fig. 4. It is observed that since the initial coupling matrix parameters and RF performances are numerically close to those from final coupling matrix, thus only minor optimization is required on the initial matrix. ⎡
0 ⎢ 1.402 ⎢ ⎢ 0 ⎢ ⎢ 0 ⎢ ⎢ 1.366 ⎢ M =⎢ ⎢ 0 ⎢ 0 ⎢ ⎢ 1.333 ⎢ ⎢ 0 ⎢ ⎣ 0 0 ⎡ 0 ⎢ 1.426 ⎢ ⎢ 0 ⎢ ⎢ 0 ⎢ ⎢ 1.351 ⎢ M =⎢ ⎢ 0 ⎢ 0 ⎢ ⎢ 1.353 ⎢ ⎢ 0 ⎢ ⎣ 0 0
Fig. 3.
S-parameter of the initial coupling matrix for the triple-band filter.
The simplicity of the optimization process is attributed to the low channel-to-channel interaction from the proposed structure in Fig. 2. 4) The optimized 3N + 2 coupling matrix can be readily divided into three new N +2 subcoupling matrices. Each submatrix is considered as a new ideal matrix model targeted by the EM design and optimization of each individual channel. In Fig. 4, two TZs are located between the adjacent channels. This is due to the phase reversal between the signals going through the adjacent paths corresponding to the coupling matrix (4). In (4), all the coupling values are positive, which could be interpreted to all inductive couplings. Considering a nine-pole triple-band filter structure having only inductive coupling between ports and resonators, the phase shift of all the components is shown in Fig. 5. The relationship between the phase shift and different coupling structures is well
1.402 20.53 1.538 0 0 0 0 0 0 0 0
0 1.538 20.53 1.538 0 0 0 0 0 0 0
0 0 1.538 20.53 0 0 0 0 0 0 1.402
1.366 0 0 0 0 1.455 0 0 0 0 0
0 0 0 0 1.455 0 1.455 0 0 0 0
0 0 0 0 0 1.455 0 0 0 0 1.366
1.426 20.77 1.568 0 0 0 0 0 0 0 0
0 1.568 20.50 1.568 0 0 0 0 0 0 0
0 0 1.568 20.77 0 0 0 0 0 0 1.426
1.351 0 0 0 −0.01 1.438 0 0 0 0 0
0 0 0 0 1.438 0.00 1.438 0 0 0 0
0 0 0 0 0 1.438 −0.01 0 0 0 1.351
1.333 0 0 0 0 0 0 −19.52 1.386 0 0 1.353 0 0 0 0 0 0 −19.77 1.409 0 0
0 0 0 0 0 0 0 1.386 −19.52 1.386 0 0 0 0 0 0 0 0 1.409 −19.51 1.409 0
⎤ 0 0 ⎥ ⎥ 0 ⎥ ⎥ 1.402 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 1.366 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 1.333 ⎦ 0 ⎤ 0 0 0 0 ⎥ ⎥ 0 0 ⎥ ⎥ 0 1.426 ⎥ ⎥ 0 0 ⎥ ⎥ 0 0 ⎥ ⎥ 0 1.351 ⎥ ⎥ 0 0 ⎥ ⎥ 1.409 0 ⎥ ⎥ −19.77 1.353 ⎦ 1.353 0
0 0 0 0 0 0 0 0 1.386 −19.52 1.333
(3)
(4)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II P HASE S HIFT C ALCULATION FOR THE T HREE PATHS OF T RIPLE -BAND F ILTER
Fig. 4.
S-parameter of the final coupling matrix for the triple-band filter.
Fig. 5.
Phase shift distribution of the components in the three signal paths.
demonstrated in [14]. The generation of the first transmission zero is mainly contributed by the low and middle channels, since the high channel has a much lower S21 magnitude response at the frequency of the first transmission zero making a minimum contribution to the response. Similarly, the generation of the second transmission zero is mostly contributed by the middle- and high-frequency bands. Table II, example 1, shows the results that the transmissions zeros are generated from the two adjacent out-of-phase paths. The frequencies of the TZs are almost exactly coincident with the frequencies at which the individual single filter responses cross each other. In practice, the coupling inside the filter could have a different sign which results in-phase conditions, thus diminishing certain transmission zero. For instance, only changing the input coupling on path 2 from −90° to +90° results in-phase condition on both frequencies between passband as shown in Table II (example 2). Fig. 6 shows the corresponding frequency responses with two TZs diminished. The EM design of the proposed triple-band filter configuration is based on the conventional design method of single filters [13] with specific requirements of each design parameter, especially the jointed input–output coupling. Several critical design procedures include the following. 1) Realization of the Triple-Mode Resonator: Because the three passbands are carried by the three modes in the triple-mode resonator, respectively, the frequency of each mode needs to be fully controlled. Another requirement is to have a sufficient spurious-free window along with the three operating modes. 2) Realization of interresonator coupling: The internal coupling of each signal path needs to be individually controlled and not introducing interaction among adjacent paths.
Fig. 6.
S-parameter of the triple-band filter (TZs diminished).
3) Realization of Input–Output Coupling: The input–output configuration must be able to couple to the three modes with a specified coupling value to each mode. III. T RIPLE -BAND F ILTER W ITH E LLIPTICAL R ESONATORS A. Elliptical Triple-Mode Resonator In [15], elliptical cavities have been used to design a dualband filter for the applications of wide passband separation. In this paper, the elliptical cavity is employed to realize a triple mode resonator for the first time. The operating modes in the elliptical cavity are the degenerated TE111 modes and the TM010 mode, as shown in Fig. 7. The triple resonators in each cavity can be tuned at specified frequencies by a proper combination of two diameters a and b along the two elliptical axes and the cavity length l. The three polarized modes are orthogonal resulting extra degree of isolation and to be designed to carry three passband signals. The resonant frequencies of the three modes in the elliptical resonator can be approximately calculated by the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHU et al.: TRIPLE-BAND CAVITY BANDPASS FILTERS
5
Fig. 7. (a) Elliptical triple-mode resonator layout. (b) Transversal cross section of the elliptical cavity. (c)–(e) Electrical field vector of TE111_V, TE111_H, and TM010 modes. TABLE III R ESONANT M ODES IN THE E LLIPTICAL T RIPLE -M ODE C AVITY
following equations: f TE111_V
c ≈ 2π
p11 a
2 +
π 2 l
2 p11 c π 2 + 2π b l c p01 ≈ √ 2π ab
(5)
f TE111_H ≈
(6)
f TM010
(7)
and p01 where c represents the speed of light, and p11 represent the first roots of Bessel functions for TE11 and TM01 modes, respectively. From the equations, the frequency differentiations among the three polarized modes are generally determined by the ratio of a, b, and l. Tuning screws along the corresponding polarized directions can be added to provide extra degrees of controlling the three polarized frequencies. By performing an eigenmode analysis with full-wave EM software, resonant modes can be calculated in the elliptical triple-mode cavity. For the cavity dimensions of a = 32 mm, b = 25.9 mm, and l = 62.2 mm, and considering a structure made of copper, the resonant frequencies and the unloaded Qs are listed in Table III. In our case, the first three modes are of interest. The adjacent modes TM010 and TM011 are nearly 550 MHz higher, yielding an acceptable spurious-free window. In the elliptical cavity in Fig. 7(a), tuning screws are located along the vertical, horizontal, and zenith axes, providing the flexibility to adjust the resonant frequencies of
Fig. 8. Relationship between intercavity coupling coefficients and (a) horizontal iris L 1 , (b) vertical iris L 2 , and (c) probe length L 3 .
each band. The three modes in the elliptical triple-mode cavity are considered to be not coupled. (A weak coupling does exist when frequencies of the three modes are close.) Intracoupling only happens between adjacent cavities by modes with the same E-field orientation. For instance, the horizontal TE11m in cavity 1 will only couple with the horizontal TE11m in cavity 2. For the first and last cavities, the input and output waveguides excite the three quasi-orthogonal modes in these cavities simultaneously. Details of the intercavity and input–output couplings are given in Section III-B.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE IV E IGENMODE S IMULATION R ESULTS FOR I NTERCAVITY C OUPLING W HEN L 1 = 25 mm, L 2 = 26.4 mm, AND L 2 = 18.5 mm
Fig. 9. (a) Front view and (b) side view of the input–output coupling structure for the elliptical triple-mode resonator.
B. InterCavity Coupling The signals in the proposed triple-band filter configuration in Fig. 2 are transmitted along three parallel noninteracting paths; hence, intercavity coupling only occurs between the resonant modes with the same orientation. In this case, a hybrid structure composed of a horizontal iris, a vertical iris, and an electrical probe (see Fig. 12) is developed to couple three polarizations with minimum interaction and is thus suitable for designs with a relatively small guard band. The horizontal iris, vertical iris, and electrical probe are used to couple the TE111_v , TE111_H , and TM010 modes, respectively. The dimensions of the irises and probes are determined by the coupling matrix parameters from all channels. Using eigenmode simulation by placing an electric and magnetic wall along the symmetry plane [13], six eigenmode frequencies are calculated, as shown in Table IV. The denormalized coupling coefficients ki are then computed by the following equation: ki =
2 f 2i2 − f 2i−1 2 f 2i2 + f 2i−1
, i = 1, 2, 3.
(8)
The ideal coupling mechanism for the triple-band design needs to include adjustable parameters sensitive to only one particular mode/passband. Fig. 8(a)–(c) shows the relationship between each subsection of inner coupling structure and the denormalized coupling coefficients. The results indicate that the horizontal iris is sensitive to the TE111_V mode and the vertical iris is sensitive to the TE111_H mode, while the electrical probe dominates the coupling to the TM010 mode. Thus, the proposed configuration has a capability of controlling the coupling along the three signal paths individually. It is worth noting that the accuracy of (9), shown at the bottom of the next
Fig. 10. Relationship between reflected group delay and (a) L 1 , (b) L 2 , (c) θ , and (d) L of the input–output probe.
page, decreases when the frequencies of the three bands are close to each other’s due to a nonnegligible coupling between the three modes in one cavity. The iris openings are designed in a long rectangular shape, aiming to minimize the crosstalk between the polarized modes.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHU et al.: TRIPLE-BAND CAVITY BANDPASS FILTERS
7
Fig. 11. Input group delay of (a) 5.5 and (b) 21 ns for the triple-band filter.
C. Input–Output Coupling
Fig. 12. Layout of elliptical cavity triple-band filter (short-axis diameter = 50.8 mm, long-axis diameter = 60.5 mm; cavity length = 62, 45, and 62 mm).
The excitation of multiple modes in a single cavity has always been a significant challenge, especially when the number of passbands exceeds two. The recently reported dual-band cavity filter structures [5], [16] have both modes excited by a tapped probe or a rectangular iris. These coupling structures provide limited independent control of the external Q when dealing with two modes. A common option of excitation in rectangular cavities is to use a coaxial cable penetrating perpendicularly inside the cavity to provide coupling to a single mode. For the proposed triple-band filter, a novel “L-shape” coaxial probe is devised, as shown in Fig. 9. In this mechanism, four parameters L 1 , L 2 , L, and θ can be tuned to determine the input coupling strength and distribution to the degenerated TE111 and TM010 modes. The input coupling coefficients are related to the maxima of group delay of the input reflection coefficient S11 [13]. Fig. 10(a)–(d) shows the relationship between the parameters and the reflected group delay. In general, L 1 mainly determines the coupling to TE111_H mode, L 2 mainly determines the coupling to TM010 mode, and L determines the overall coupling to the TE111_V and TE111_H modes, while θ controls the distribution of overall coupling to the two modes. Such a configuration is capable to fully control the input coupling for the three operating modes while keeping the structure simple. In Fig. 11(a) and (b), three balanced group delay peaks corresponding to the three input couplings are designed with different values at the desired frequencies, which proves a dedicate and flexible control of the external couplings by the proposed structure in Fig. 9.
Fig. 13. EM simulation response versus coupling matrix response of the triple-band filter with elliptical cavities.
D. Elliptical-Cavity Triple-Band Filter Design In order to prove the feasibility of the proposed approach, a nine-pole C-band triple-band filter is designed to implement ⎡
0 ⎢ 1.434 ⎢ ⎢ 0 ⎢ ⎢ 0 ⎢ ⎢ 1.331 ⎢ M =⎢ ⎢ 0 ⎢ 0 ⎢ ⎢ 1.387 ⎢ ⎢ 0 ⎢ ⎣ 0 0
1.434 11.88 1.537 0 0 0 0 0 0 0 0
0 1.537 11.41 1.537 0 0 0 0 0 0 0
0 0 1.537 11.88 0 0 0 0 0 0 1.434
1.331 0 0 0 −0.00 1.421 0 0 0 0 0
the equivalent circuit in Fig. 5. Following the procedure in Section II, the 3N + 2 (N = 3) coupling matrix is achieved in (9) with a CF = 4 GHz and BW = 36 MHz. Fig. 12 shows the layout of the triple-band filter with elliptical cavities based on the above intercavity and external coupling concepts. The initial dimensions of each subsection are achieved by the method introduced in the previous sessions A–C. The initial overall responses (i.e., S parameters) of the full passband are then divided into three subbands(e.g., low: 3.6–3.9 GHz; mid: 3.9–4.1 GHz; high: 4.1–4.4 GHz). Each of the subband response can be optimized to the ideal response from its corresponding N + 2 coupling matrix individually with EM/numerical optimization methodologies [17], [18]. The final EM responses are compared with the responses of a coupling matrix, as shown in Fig. 13. The in-band performance matches well. The difference of transmission zero locations is
0 0 0 0 1.421 0.00 1.421 0 0 0 0
0 0 0 0 0 1.421 −0.00 0 0 0 1.331
1.387 0 0 0 0 0 0 −11.30 1.424 0 0
0 0 0 0 0 0 0 1.424 −10.83 1.424 0
0 0 0 0 0 0 0 0 1.424 −11.30 1.387
⎤ 0 0 ⎥ ⎥ 0 ⎥ ⎥ 1.434 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 1.331 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 1.387 ⎦ 0
(9)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 14. S21 responses with two coaxial ports in parallel (circle), symmetric with the short axis (plus), and symmetric with the long axis (triangle). Fig. 16. Measured response versus simulation response of the triple-band filter with elliptical cavities.
Fig. 15.
Manufactured triple-band filter with the elliptical cavity.
due to the frequency dispersion of the TE and TM waveguide mode. The dispersion effect will get improved if using a higher order filter or decreasing the design BW. As mentioned earlier in Section II, the sign of particular coupling matrix parameters of the proposed triple-band filter affects the positions of the TZs between the passbands. In Fig. 12, the two connectors are 180° apart in the first and the last cavity, resulting 180° phase difference of the same mode in the first and the last cavity. This results in “out-of-phase” condition at the two frequencies between the passbands leading to the generation of two TZs, as shown in Fig. 13. The phase calculation along each path can follow the approach in Table II. Fig. 14 shows the different distributions of TZs with different locations of input and output probe. The designed triple-band filter was manufactured from copper, as shown in Fig. 15. Fig. 16 shows the tuned near-band responses of the manufactured filter compared with the simulation responses. The measured RL is better than 20 dB, and the rejection between adjacent channels is more than 50 dB. The measured IL is 0.22–0.25 dB reflecting a loaded Q in the range of 6500–7000. The achieved loaded Q is lower than expected likely due to the imperfect surface finishing and parts contact. The tuned frequencies of the low band and middle the band are lower compared with the simulation due to the limited tunability of the TM010 mode. The triple-band filter with an elliptical cavity provides very compact layout and high Q. However, the following drawbacks have been noticed during the design, manufacturing, and tuning.
1) Due to the in-line configuration, tuning screws for the TM010 mode can be added only to the first and last cavities. This reduces the overall tunability of the triple-band filter to overcome the unavoidable tolerances during manufacturing, especially at higher frequencies. 2) The stray coupling between three modes cannot be completely eliminated particularly when for the cases of the narrow channel-to-channel separation. 3) The next spurious mode at the higher frequency is relatively close to the passband, especially with wider channel BW or wider guard band. This affects the upper-side rejection of the third channel. Unfortunately, the spurious-free window is difficult to be expanded due to the intrinsic mode distribution of the elliptical cavity. A cover filter will have to be added along with the triple-band filter to suppress the close by spurious. 4) The inner-cavity probe is attached with the iris by a support made by a dielectric material with low permittivity (e.g., Teflon). In each iteration of tuning, the filter has to be first disassembled, and then, the probe can be replaced with different lengths. After the probe length is determined, the probe, the support, and the iris need to be rebonded together with RTV silicone glue which complicates the tuning process. 5) High-order filter functions lead to a rather long filter that might be restricted by certain layout requirement circumstances. IV. T RIPLE -BAND F ILTER W ITH R ECTANGULAR WAVEGUIDE R ESONATORS An introduction of a rectangular waveguide triple-band filter had been presented in [11]. The rectangular waveguide design is another triple-band filter solution having a number of advantages and disadvantages compared to elliptical designs. A. Advantages 1) The three operating modes TE101, TE011, and TM110 in the rectangular waveguide have higher degrees of orthogonality than the modes in the elliptical waveguide, generating less stray coupling between either modes.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHU et al.: TRIPLE-BAND CAVITY BANDPASS FILTERS
Fig. 17.
9
Measurement responses of the 12-pole triple-band filter.
Therefore, the rectangular waveguide design is more suitable for the applications with relatively smaller passband separations. 2) The rectangular cavity design has a wider spuriousfree window compared to that of the elliptical cavity. Fig. 17 shows the measured out-of-band rejection measurement. The spurious rises at about 4.5 GHz. 3) The triple-band filter based on the rectangular waveguide is easier to form a folded configuration. This adds accessibility of more tuning elements to control the selfcoupling and sequential coupling on each channel path. B. Disadvantages 1) The external couplings achieved in the rectangular resonator are relatively smaller than those achieved in the elliptical resonator case because the coaxial connector is located physically further from the center of the cavity where the maximum E-fields of all the three modes locate. The BW of each channel could be limited as a consequence. 2) The IL is slightly higher for the rectangular waveguide design due to a lower Q of the operating modes in rectangular waveguide compared to those in the elliptical waveguide. V. D IELECTRIC T RIPLE -BAND F ILTER The dielectric triple-band filter had been briefly discussed in [12]. In this section, a more sophisticated analysis on each subsection of the dielectric triple-band filter, especially the resonator and external coupling, is presented for users’ better understanding on this unique design.
Fig. 18. Relationship between mode frequencies and slot lengths (a) S1 (top view), (b) S2 (top view), and (c) S3 (side view).
modes with different D/L ratios. It might be noticed that the HEH and HEE mode have almost identical frequency spacing over the whole D/L range, and thus, a further degree of frequency controlling of the modes is required. Fig. 18(a)–(c) demonstrates the relationship between the mode frequencies and the slot lengths S1 , S2 , and S3 . The TEH and HEE modes can be independently controlled by S1 and S3 , respectively, while S2 affects both TEH and HEH mode.
A. Triple-Mode Dielectric Resonator The unique configuration of the dielectric resonator [12, Fig. 1] provides a two-level frequency control for each mode, i.e., a rough control by choosing the D/L ratio and a fine control by adjusting the length of the slots S1 , S2 , and S3 in the dielectric resonator. The mode chart [12, Fig. 3] demonstrates the frequency distributions of the operation
B. Interresonator Coupling The interresonator coupling is realized by a horizontal iris, a vertical iris, and an electrical probe, coupling TEH, ½HEE, and ½HEH modes, respectively. The relationship between each subsection and the couplings to the three modes is demonstrated in Fig. 19(a)–(c), proving that the configuration
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 20. (a) Top view and (b) front view of the input–output coupling structure for the dielectric triple-mode resonator. TABLE V PARAMETRIC S TUDY OF P HYSICAL PARAMETERS R ELATING TO THE I NPUT–O UTPUT C OUPLINGS OF THE T HREE D IELECTRIC M ODES
a major impact on the coupling to the ½HEE and ½HEH modes but less sensitive to the TEH mode. Probe length L 1 has a major impact on the coupling to the TEH and ½HEH modes, with a minor effect on the ½HEE mode. Moving the probe further/closer (increasing or decreasing D1 ) from the resonator decreases/increases the coupling to the TEH and ½HEE modes. An interactive process might be required to design the specified input couplings for each mode. Examples of reflected group delay distributions to the three modes at around 3.8, 4, and 4.2 GHz are shown in Fig. 21. Such a configuration is capable to fully control the input–output coupling for the three dielectric modes while maintaining a simple structure. D. Dielectric Triple-Band Filter Design Fig. 19. Relationship between intercavity coupling coefficients and (a) horizontal iris, (b) vertical iris, and (c) probe length.
is effective to couple the three dielectric modes independently with minimum interactions. C. Input–Output Coupling For the dielectric triple-band filter, the input–output coupling can be realized by a probe located parallel to one edge of the dielectric resonator, as shown in Fig. 20. The field distribution of the dielectric loaded resonator is more complicated than waveguide resonator and a large percentage of the electric field is stored inside the high permittivity dielectric puck. A change of the relative location (X 1 , L 1 , and D1 ) of the probe would affect all three operating modes simultaneously but with different degrees. In Table V, the distance X 1 has
A nine-pole C-band triple-band filter is demonstrated to prove the feasibility of the proposed approach. The coupling matrix is in (10), shown at the bottom of the next page, with CF = 4 GHz and BWs are all 20 MHz. The measurement out-of-band response of the proposed filter is shown in Fig. 22. A thermal test with the operating temperature from −20 °C to 60 °C was performed to show the stability of the dielectric filter. As can be seen in Fig. 23, the low and high channels are very stable, while a very minor deviation in RL is observed in the middle channel. The extracted coefficient of thermal expansion is 2.1 ppm/°C, comparable to that from conventional dielectric filters. VI. C OMPARISON B ETWEEN THE T HREE R EALIZATIONS OF T RIPLE -BAND F ILTERS AND D ESIGN P ROCEDURE In Table VI, the three realizations of the triple-band filtering configuration are compared in terms of RF parameters,
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHU et al.: TRIPLE-BAND CAVITY BANDPASS FILTERS
11
Fig. 23. Fig. 21. Input reflected group delay of (a) balanced 15 ns, (b) balanced 30 ns, (c) 20–25–30 ns, and (d) 30–25–20 ns for the dielectric triple-band filter.
Fig. 22. Measured out-of-band S-parameter of the dielectric triple-band filter.
volume, design/manufacturing complexity, and tunability. The design with dielectric resonators offers the most efficient Q over size, but it would require more sophisticated design and tuning iterations. The design procedure of the proposed class of the triple-band filters can be summarized with the major steps outlined in the following. Step 1: Synthesis of coupling matrix of each channel filter. These matrices need then to be normalized to the CF and BW of the center channel. ⎡
0 ⎢ 1.426 ⎢ ⎢ 0 ⎢ ⎢ 0 ⎢ ⎢ 1.351 ⎢ M =⎢ ⎢ 0 ⎢ 0 ⎢ ⎢ 1.353 ⎢ ⎢ 0 ⎢ ⎣ 0 0
1.426 20.77 1.568 0 0 0 0 0 0 0 0
0 1.568 20.50 1.568 0 0 0 0 0 0 0
0 0 1.568 20.77 0 0 0 0 0 0 1.426
1.351 0 0 0 −0.01 1.438 0 0 0 0 0
Measured thermal performances of the dielectric triple-band filter. TABLE VI
C OMPARISON OF THE R EALIZATIONS OF T RIPLE -BAND F ILTER AT 4 GHz
Step 2: Combine the three subcoupling matrices and perform a simple optimization of the coupling matrix to achieve the desired rejection and RL of each channel. Step 3: Define the triple-mode resonator with the desired realization (waveguide or dielectric) having three operating resonant modes at the designated frequencies. Make sure sufficient spurious-free window is obtained. Step 4: Identify the interresonator coupling structure that independently yields the coupling coefficients associated with the three resonant nodes. Step 5: Identify the input–output coupling configuration to achieve the required reflected group delay peak values of the three passbands. Step 6: Use EM simulation to realize the physical dimensions of the all interresonator and input–output coupling and simulate the overall filter to get the initial response.
0 0 0 0 1.438 0.00 1.438 0 0 0 0
0 0 0 0 0 1.438 −0.01 0 0 0 1.351
1.353 0 0 0 0 0 0 −19.77 1.409 0 0
0 0 0 0 0 0 0 1.409 −19.51 1.409 0
0 0 0 0 0 0 0 0 1.409 −19.77 1.353
⎤ 0 0 ⎥ ⎥ 0 ⎥ ⎥ 1.426 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 1.351 ⎥ ⎥ 0 ⎥ ⎥ 0 ⎥ ⎥ 1.353 ⎦ 0
(10)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Step 7: In the optimization process, treat the triple-band filter as three single-band filters and perform the EM/numerical optimization to each channel filter individually. Step 8: Fine optimization of filter performance can be done experimentally through the use of tuning screws. VII. C ONCLUSION This paper has presented a systematic approach to design a new class of triple-band filters. The overall coupling matrix model of such class of triple-band filters can be synthesised with the knowledge of the coupling matrices of single-band filters. The triple-band filters have been realized by three different high-Q cavity resonator structures. Each cavity resonator employs triple modes with resonant frequencies associated with the three passbands. Such an approach provides the most significant size and mass saving while maintaining a high Q. The first design was an elliptical-cavity triple-band filter with an in-line configuration. Each passband of the filter was controlled by a dedicated polarization and represented as an inline direct-coupled set of resonators. The second design was a rectangular-cavity triple-band filter with a folded configuration. Compared to elliptical cavity design, the three modes in the rectangular resonator have a higher degree of orthogonality making it easy to independently control the interresonator couplings of three modes. The folded configuration overcomes a number of drawbacks from the elliptical in-line design, including an improved tunability and ease of assembly. The last design was a triple-band filter design based on dielectric resonators. The unique dielectric resonator structure results in triple-band filters having a very compact size, high Q, and stable thermal response. The detailed design approach for each parameter of the three proposed tripleband structures was discussed, and all the features have been validated through the realization and measurement of filter prototypes.
[6] R. Zhang and R. R. Mansour, “Dual-band dielectric-resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1760–1766, Jul. 2009. [7] S. Amari and M. Bekheit, “A new class of dual-mode dual-band waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 8, pp. 1938–1944, Aug. 2008. [8] U. Rosenberg, “Multiplexing and double band filtering with commonmultimode cavities,” IEEE Trans. Microw. Theory Techn., vol. 38, no. 12, pp. 1862–1871, Dec. 1990. [9] B. J. Chen, T. M. Shen, and R. B. Wu, “Design of tri-band filters with improved band allocation,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1790–1797, Jul. 2009. [10] X.-P. Chen, K. Wu, and Z.-L. Li, “Dual-band and triple-band substrate integrated waveguide filters with chebyshev and quasi-elliptic responses,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2569–2578, Dec. 2007. [11] L. Zhu, R. R. Mansour, and M. Yu, “Compact triple-band bandpass filters using rectangular waveguide resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–3. [12] L. Zhu, R. R. Mansour, and M. Yu, “Triple-band dielectric resonator bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 745–747. [13] R. J. Cameron, C. M. Kudsia, and . R. Mansour, Microwave Filters for Communication Systems. Hoboken, NJ, USA: Wiley, 2007. [14] J. B. Thomas, “Cross-coupling in coaxial cavity filters—A tutorial overview,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1368–1376, Apr. 2003. [15] L. Zhu, R. R. Mansour, and M. Yu, “Compact waveguide dual-band filters and diplexers,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1525–1533, Jan. 2017. [16] U. Naeem, S. Bila, M. Thevenot, T. Monediere, and S. Verdeyme, “A dual-band bandpass filter with widely separated passbands,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 450–456, Mar. 2014. [17] M. Meng and K. L. Wu, “An analytical approach to computer-aided diagnosis and tuning of lossy microwave coupled resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3188–3195, Dec. 2009. [18] G. Macchiarella and D. Traina, “A formulation of the Cauchy method suitable for the synthesis of lossless circuit models of microwave filters from lossy measurements,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 243–245, May 2006.
ACKNOWLEDGMENT The authors would like to thank J. Zheng and J. Cox, Advanced Technology Department, Honeywell Aerospace, Cambridge, ON, Canada, for their technical assistance during the design and tuning of the filter prototypes. R EFERENCES [1] S. Holmes, “Multiple passband filters for satellite applications,” in Proc. 20th ALAA Int. Commu. Satell. Syst. Conf. Exhibit, 2002, Paper AIAA-2002-1993. [2] M. Mokhtaari, J. Bornemann, K. Rambabu, and S. Amari, “Coupling matrix design of dual and triple passband filters,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 11, pp. 3940–3946, Nov. 2006. [3] V. Lunot, F. Seyfert, S. Bila, and A. Nasser, “Certified computation of optimal multiband filtering functions,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 105–112, Jan. 2008. [4] G. Macchiarella and S. Tamiazzo, “Design techniques for dualpassband filters,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 11, pp. 3265–3271, Nov. 2005. [5] J. A. Ruiz-Cruz, M. M. Fahmi, and R. R. Mansour, “Triple-conductor combline resonators for dual-band filters with enhanced guard-band selectivity,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3969–3979, Dec. 2012.
Li Zhu received the B.Eng. degree from the University of Science and Technology of China, Hefei, China, in 2004, and the M.A.Sc. degree in electrical engineering from Concordia University, Montreal, QC, Canada, in 2008. He is currently pursuing the Ph.D. degree in electrical and computer engineering at the University of Waterloo, Waterloo, ON, Canada. In 2009, he joined COM DEV Ltd., Cambridge, ON, Canada. His work includes the design, analysis, and modeling of microwave filters, multiplexers, and other components for space applications. In 2016, he joined Honeywell, Cambridge, as a Principle RF Engineer . In 2017, he joined Telesat Canada, Ottawa, ON, Canada, as a Payload Engineering Specialist. His responsibilities include payload engineering support on global satellite consulting services and Telesat-own satellite development.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHU et al.: TRIPLE-BAND CAVITY BANDPASS FILTERS
Raafat R. Mansour (S’84–M’86–SM’90–F’01) was born in Cairo, Egypt. He received the B.S. and M.S. degrees in electrical engineering from Ain Shams University, Cairo, in 1977 and 1981, respectively, and the Ph.D. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 1986. In 1981, he joined the Laboratoire d’Electromagnetisme, Institute National Polytechnique, Grenoble, France, as a Research Fellow. From 1983 to 1986, he was a Research and Teaching Assistant with the Department of Electrical Engineering, University of Waterloo. In 1986, he joined COM DEV Ltd., Cambridge, ON, Canada, where he held several technical and management positions at the Corporate Research and Development Department. He received the title of Scientist at COM DEV Ltd. in 1998. Since 2000, he has been a Professor with the Electrical and Computer Engineering Department, University of Waterloo, where he was the Natural Sciences and Engineering Research Council of Canada (NSERC) Industrial Research Chair from 2001 to 2010. He is currently the Tier I Canada Research Chair. He is also the Founding Director of the Centre for Integrated RF Engineering. He has authored or co-authored numerous publications in the areas of filters and multiplexers, high-temperature superconductivity, and microelectromechanical systems (MEMS). He co-authored a book. He holds several patents related to the areas of dielectric resonator filters, superconductivity, and MEMS devices. His current research interests include MEMS technology and miniature tunable RF filters for wireless and satellite applications. Dr. Mansour is a Fellow of the Engineering Institute of Canada and the Canadian Academy of Engineering.
13
Ming Yu (S’90–M’93–SM’01–F’09) received the Ph.D. degree in electrical engineering from the University of Victoria, Victoria, BC, Canada, in 1995. In 1993, he joined COM DEV Ltd., Cambridge, ON, Canada, as a Technical Staff Member, where he was involved in designing passive microwave/RF hardware for both space- and ground-based applications. He was a Principal Developer of a variety of COM DEV’s core design and tuning software for microwave filters and multiplexers, including computer-aided tuning software in 1994 and a fully automated robotic diplexer tuning system in 1999. He was a Manager of the Filter/Multiplexer Technology (Space Group) and a Staff Scientist of Corporate Research and Development. In 2003, he demonstrated world’s first robotic filter/diplexer tuning system at the IEEE IMS Conference Workshop. Until 2016, he was the Chief Scientist and the Director of Research and Development. He has been responsible for overseeing the development of the company’s research and development roadmap and the next-generation products and technologies, including high-frequency and high-power engineering, electromagnetic-based CAD and tuning for complex and large problems, and novel miniaturization techniques for microwave networks. He is also an Adjunct Professor with the University of Waterloo, Waterloo, ON, Canada. After COM DEV was acquired by Honeywell, Cambridge, ON, Canada, in 2016, he has led the Advanced Technology Group, Cambridge, as a Chief Scientist and a Senior Engineering Fellow. After 24 years in industry full time and 15 years as an Adjunct Professor at Waterloo, he joined the Electronics Engineering Department, The Chinese University of Hong Kong, Hong Kong, as a tenured Full Professor in 2017. He holds 20 patents. He has authored or co-authored over 130 publications and numerous proprietary reports. Dr. Yu is a Fellow of the Canadian Academy of Engineering. He holds the NSERC Discovery Grant from 2004 to 2021 from the University of Waterloo. He was a recipient of the 1995 and 2006 COM DEV Achievement Award for the development of computer-aided tuning algorithms and systems for microwave filters and multiplexers. He was an IEEE Distinguished Microwave Lecturer from 2010 to 2012. He served as the MTT Filter Committee Chair (MTT-8) and also the Chair of TPC-11 multiple times. He was an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He served as a keynote speaker and an invited speaker and a session chair in many international conferences and workshops.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Highly Efficient Asymmetric Class-F−1/F GaN Doherty Amplifier Joonhyung Kim , Senior Member, IEEE
Abstract— This paper presents a new asymmetric Class-F−1 /F Doherty power amplifier (DPA) consisting of a Class-F−1 carrier amplifier and a Class-F peaking amplifier. The asymmetric current waveform due to different harmonic loading conditions offers an asymmetric fundamental current in load modulation region, ensuring an improvement in the performance at the peak output power. In this paper, improvements in the large signal performances, such as the output power and efficiency, are analyzed using the derived fundamental current models. For verification, a 2.4-GHz GaN Class-F−1 /F DPA was designed and fabricated. The designed Class-F−1 /F DPA achieves a peak output power of 44 dBm with a peak drain efficiency (DE) of 86.7%. Using a local thermal equilibrium 10-MHz signal with a 6.6-dB peak-to-average power ratio, the Class-F−1 /F DPA achieves an average output power of 37.3 dBm with a DE of 68% at a supply voltage of 28 V. The measured adjacent channel leakage power ratio with a digital predistortion is below −50 dBc. Index Terms— Asymmetric, Class-F, Doherty, GaN, inverse Class-F, power amplifier (PA).
I. I NTRODUCTION
I
N MODERN wireless communication systems, wideband and high-spectral-efficiency modulation results in a high peak-to-power ratio (PAPR). As a result, power amplifiers (PAs) are operated in a deep power back-off (PBO) region, causing a severe degradation of the transmission efficiency. Doherty PAs (DPAs) are the most promising solutions to improve the PBO efficiency [1]–[5]. Unlike standalone PAs, the load impedances for both the carrier and peaking amplifier in a DPA vary so that the Doherty modulation maximizes the efficiency in the PBO and the peak output power. Further improvements in the efficiency of DPAs can be achieved by incorporating highly efficient harmoniccontrolled PAs as a carrier and peaking amplifier [6]–[13]. Among them, Class-F and inverse Class-F (Class-F−1 ) PAs have been widely used [14]–[17]. For a Class-F amplifier, the output current waveform is likely to be half-sinusoidal, whereas the output voltage waveform has a squared wave shape. In contrast, the current and voltage waveforms for a Manuscript received February 21, 2018; revised April 27, 2018; accepted May 8, 2018. This work was supported by the National Research Foundation of Korea funded by the Korea Government (MSIT) under Grant 2017R1C1B5075647. The author is with the Department of Electronic Engineering, Kunsan National University, Gunsan, South Korea (e-mail: joonhyung.kim@ kunsan.ac.kr). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2839195
Class-F−1 amplifier are squared and half-sinusoidal, respectively. Comparing both current waveforms, a squared current waveform offers two major advantages for a DPA design. First, the power dissipation in a Class-F−1 amplifier is smaller than that in a Class-F amplifier due to the lower magnitude of the current waveform [16]. Second, the optimum load impedance for a Class-F−1 amplifier is relatively larger than that of a Class-F amplifier because the lower magnitude of the squared waveform possesses a lower fundamental current component. This implies that the potential loss due to the impedance transform ratio is expected to be smaller than in a Class-F amplifier. As a result, to achieve a better performance, it has been concluded that a Class-F−1 amplifier is more suitable in a DPA than a Class-F amplifier. However, even when highly efficient Class-F−1 amplifiers are chosen for a DPA design, an inherent issue related with the lower driving capability of a peaking amplifier remains, resulting in an insufficient load modulation [18], [19]. Due to the inevitable low peaking bias voltage, a fundamental peaking current cannot be properly generated in the upper PBO region, leading to the larger load impedances than optimum load impedances in both the carrier and peaking amplifier. As a result, the maximum output power and efficiency are degraded. To overcome this problem, previous studies have reported several different methods, which can be categorized into three types: uneven [5], [20], asymmetric [21]–[23], and gate adaptations [18], [24], [25]. Despite their effectiveness, these techniques each have their own particular drawbacks: An uneven method sacrifices the power gain through an attenuation of the gain of the carrier amplifier, whereas an asymmetric method requires complex load matching for optimization between different device sizes. Finally, for a gate voltage adaption method, an additional baseband signal processing block and an envelope amplifier are required to generate a dynamic peaking bias voltage. In this paper, we propose a new asymmetric DPA (Class-F−1 /F DPA) consisting of a Class-F−1 amplifier and a Class-F amplifier as the carrier and peaking amplifiers, respectively. Due to the larger fundamental current driving capability of a half-sinusoidal waveform compared with that of a squared waveform, the magnitude of the fundamental currents between the carrier and peaking amplifiers becomes asymmetric as a function of the input level. Thus, an improper load modulation is effectively mitigated without any additional compensation techniques.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
a conduction angle of 1/π, whereas the peaking amplifiers are biased to operate over a 6-dB PBO region. For the Class-F−1 /F−1 DPA, a squared current waveform is excited at the outputs of both the carrier and peaking amplifiers, as shown in Fig. 1(a), where A1 and A2 are the F −1 /F
magnitude of ICa,1
−1
F −1 /F
and IPk,1
F −1 /F Z Ca,1
−1
, respectively. Below the
−1
is set to twice the size of the 6-dB PBO region, optimum impedance, and is decreased inversely proportional to (1 +
F −1 /F ICa,1
−1
F −1 /F
/I Pk,1
−1
) within a Doherty modulation F −1 /F
−1
region. At maximum input power, Z Ca,1 should have the optimum value. This particular condition is achieved only when A1 = A2 . However, in a real implementation, due to a low-bias strategy (Class-C), a smaller conduction angle of 2θ a is allowed, which then leads to A2 being less than A1 F −1 /F
−1
at the maximum input level. With a small A2 , ICa,1 F −1 /F Z Ca,1
Fig. 1.
(a) Class-F−1 /F−1 . (b) Class-F−1 /F DPAs.
The remainder of this paper is organized as follows. In Section II, based on the derived fundamental current waveform and load impedance under harmonic control, the theoretical model of a Class-F−1 /F DPA is analyzed along with a conventional Class-F−1 /F−1 DPA. Based on the analysis described in Section II, in Section III, the accurate simulation results and design method for a Class-F−1 /F DPA using a commercial 10-W peak-to-envelope power GaN device are described. To confirm our analysis, the measured results of a fabricated Class-F−1 /F DPA are provided in Section IV. Finally, Section V offers some concluding remarks regarding this research. II. I NVESTIGATION OF A SYMMETRIC C LASS -F−1 /F DPA Fig. 1(a) and (b) shows a conventional Class-F−1 /F−1 DPA (Class-F−1 carrier/Class-F−1 peaking amplifier) and the proposed asymmetric Class-F−1 /F DPA (Class-F−1 carrier/ Class-F peaking amplifier), respectively. Defining the F −1 /F
−1
F −1 /F
−1
abbreviations used in Fig. 1, ICa and IPk represent the carrier and peaking current in a Class-F−1 /F−1 DPA, F −1 /F F −1 /F and IPk are the carrier and respectively, whereas ICa F −1 /F
peaking current in a Class-F−1 /F DPA. Similarly, Z Ca F −1 /F
and Z Pk
−1
−1
are the carrier and peaking impedance in a F −1 /F
F −1 /F
Class-F−1 /F−1 DPA, respectively, and Z Ca and Z Pk are the carrier and peaking impedance in a Class-F−1 /F DPA. A comma is used to define the nth-order harmonic components including a fundamental component. The carrier amplifiers in both DPAs are biased as deep Class-AB or Class-B with
is
−1
over constrained due to the larger optimum value of the PBO region. To increase the fundamental peaking current, previous studies have focused on techniques for generating an asymmetric fundamental current behavior between the carrier and peaking amplifiers. However, such compensation techniques have their own drawbacks, as previously addressed in Section I. In this paper, we propose a new type of DPA for generating an asymmetric fundamental current using a different behavior of the current waveform between the carrier and peaking amplifiers, as shown in Fig. 1(b). Whereas F −1 /F is excited at an output of a Class-F−1 the squared ICa amplifier, similar to a conventional DPA, the half-sinusoidal F −1 /F is generated through Class-F harmonic loading under IPk a conduction angle of 2θ b . With this feature, due to the highdriven capability of a half-sinusoidal waveform, the magnitude F −1 /F
F −1 /F
−1
of IPk ( A4 ) is larger than that of IPk ( A2 ) at the same input level, and thus the maximum achievable magnitude of F −1 /F
F −1 /F
−1
IPk,1 is improved over that of IPk,1 . This relationship with regard to the amplitude can be verified by applying a Fourier transform with half-sinusoidal and squared waveforms. F −1 /F
−1
the maximum input level, whereas Fig. 2(b) shows F −1 /F
and IPk,1 F −1 /F IPk
F −1 /F
and IPk
Fig. 2(a) indicates the normalized IPk
at
F −1 /F IPk,1
−1
. At the same input voltage, a magnitude of F −1 /F
twice that of IPk F −1 /F IPk,1
−1
is obtained. Correspondingly, F −1 /F
−1
a magnitude of twice that of IPk,1 is calculated. To further study the role of the fundamental peaking current, F −1 /F IPk,1 is analyzed according to (A6) in the Appendix, and is given by −1
I PFk,1 /F =
sinθa θa Vin G m − π π · (G m Vmax − G m Vin cosθa + 2αcos3 θa )
(1)
where G m is the linear transconductance, Vin is the magnitude of the input voltage, a is the half-conduction angle for both DPAs, and α is the parameter used to set the third harmonic component to null. Detailed calculations are reported
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM: HIGHLY EFFICIENT ASYMMETRIC CLASS-F−1 /F GaN DOHERTY AMPLIFIER
Fig. 2. (a) Calculated peaking current waveform. (b) Peaking fundamental current waveform for Class-F−1 /F−1 and Class-F−1 /F DPAs. F −1 /F
in the Appendix. The carrier impedance Z Ca,1 −1
3
Fig. 3. Fundamental carrier and peaking current for Class-F−1 /F−1 and Class-F−1 /F DPAs.
is given as
−1
F /F Z Ca,1
=
F 2 · Ropt
1+
F −1 /F
(2)
IPk,1
−1 /F
F ICa,1
−1
F where Ropt is the optimum impedance of the Class-F−1 amplifier. Consider the following fundamental voltage condition: √ F −1 /F F −1/F F −1 × Z Ca,1 = 2 · Vdc − Vk,max ICa,1 (3) −1
F is the maximum knee voltage of the carrier where Vk,max amplifier at the peak output power. Using (2) and (3), F −1 /F ICa,1 in a Class-F−1 /F DPA can be expressed as F −1/F
ICa,1 √ F −1 2 Vdc − Vk,max = F −1 4Ropt √ F −1 · V −V F −1 + 4 2 · I F −1 R F −1 2 Vdc −Vk,max dc Pk,1 opt k,max + F −1 4Ropt (4) F −1 /F
Equation (4) indicates that ICa,1 F −1 /F
the square of IPk,1
is only proportional to F −1
F −1
because Vdc , Vk,max , and ROpt are F −1 /F −1
F −1 /F −1
and IPk,1 for a constant. For comparison, ICa,1 Class-F−1 /F−1 DPA can also be solved in the same manner as in (A12). Fig. 3 shows that the normalized fundamental currents for both DPAs are represented as a function of the input PBO. For a comparison, ideal currents are also −1
F −1 /F
−1
F ≈ 0.2Vdc , an insufficient IPk,1 plotted. Setting Vk,max of 0.35 A is generated at the maximum input level, leading to F −1 /F
a ICa,1
−1
of 0.6 A in a Class-F−1 /F−1 DPA. In contrast,
Fig. 4. (a) Calculated fundamental carrier impedance. (b) Fundamental peaking impedance for Class-F−1 /F−1 and Class-F−1 /F DPAs. F −1 /F
IPk,1
of 0.74 A is obtained, which is 1.8 times larger
−1 F −1 /F
F −1 /F
. This increment extends ICa,1 up to 0.86 A, than IPk,1 which is 1.2 times higher than that of a Class-F−1 /F−1 DPA. This enhancement of the fundamental carrier current results in dynamic load impedances close to the ideal value. The normalized load impedances are represented in Fig. 4. It can F −1 /F
−1
F −1 /F
−1
and Z Pk,1 are 1.35 and 1.54 times be seen that Z Ca,1 larger than the optimum value at the peak output power, F −1 /F F −1 /F and Z Pk,1 are respectively. On the other hand, Z Ca,1 drastically reduced, and are close to the optimum value. This proper load modulation has a direct impact on the large signal performances, such as the maximum output power and efficiency. Based on the analyzed fundamental currents and load impedances, the DE and power gain can be calculated as shown in Fig. 5. The calculated results indicate that a Class-F−1 /F DPA offers an improved DE of 2% and an output power of 3.4 dB.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 5. Calculated DE and power gain for Class-F−1 /F−1 and Class-F−1 /F DPAs. Fig. 7. Simulated fundamental currents for Class-F−1 /F and Class-F−1 / F−1 DPAs.
Fig. 6. Simulated peaking current waveforms for Class-F−1 /F and Class-F−1 /F−1 DPAs.
III. D ESIGN OF C LASS -F−1 /F DPA AND S IMULATION R ESULTS To verify the proposed architecture in an actual design, an asymmetric Class-F−1 /F DPA and a Class-F−1 /F−1 DPA using a commercial GaN HEMT device were designed and simulated using an advanced system design (Keysight). For the simulation, Vdc of 28 V was used. To investigate and compare the large signal performance from a different load matching, the same fundamental source impedance of 5.5 − j8.5 with no harmonic control was applied in both DPAs. To confirm that the designed harmonic impedances are properly loaded, the peaking voltage and current waveform in both F −1 /F −1 F −1 /F −1 and IPk DPAs are plotted in Fig. 6. Here, VPk are represented as a half-sinusoidal and squared waveform, F −1 /F F −1 /F respectively. In contrast, VPk and IPk waveforms are squared and half-sinusoidal, respectively. Fig. 7 shows the simulated fundamental currents with an input power of 10 through 36 dBm. Fig. 8 shows a variation in load impedance. F −1 /F −1 For a conventional Class-F−1 /F−1 DPA, a simulated IPk,1
Fig. 8. Simulated Class-F−1 /F−1 DPAs.
load
impedances
for
Class-F−1 /F
and
of 0.53 A is observed at the maximum input power, limiting F −1 /F −1 to 0.46 A. This lower current-driving capability has ICa,1 a direct impact on the load impedance property, which differs F −1 /F −1 and from the optimum impedance. The simulated Z Ca,1 F −1 /F −1
are 79.6 and 65 , which are 1.6 and 1.3 times Z Pk,1 higher than the simulated optimum value of 50.8 , respectively, as shown in Fig. 8. The Class-F−1 /F DPA delivers a F −1 /F larger IPk,1 of 0.8 A at the maximum input level, leading to F −1 /F
F −1 /F −1
. a ICa,1 of 0.7 A, which is 1.3 times larger than ICa,1 This enhancement of the current-driving capability leads to a F −1 /F F −1 /F Z Ca,1 and Z Pk,1 of 56.2 and 42 , respectively, which is closer to the optimum load impedances of 50.8 and 40 , respectively. Fig. 9 shows the output power and DE for both DPAs. The simulation results clearly reveal that the Class-F−1 /F DPA exploits a simulated drain efficiency (DE) of 89.2% at a peak output power of 44.4 dBm, whereas
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM: HIGHLY EFFICIENT ASYMMETRIC CLASS-F−1 /F GaN DOHERTY AMPLIFIER
5
Fig. 11.
Implemented Class-F−1 /F−1 (left) and Class-F−1 /F (right) DPAs.
Fig. 12.
Measured dc current for carrier and peaking amplifiers.
Fig. 9. Simulated power gain and DE for Class-F−1 /F and Class-F−1 / F−1 DPAs.
Fig. 10.
Schematic of (a) Class-F−1 /F−1 . (b) Class-F−1 /F DPAs.
a Class-F−1 /F−1 DPA provides a DE of 85.1% with a peak output power of 43.1 dBm. These simulation results show good agreement with the calculated results. IV. I MPLEMENTATION AND M EASUREMENT R ESULTS The proposed Class-F−1 /F DPA was fabricated along with a Class-F−1 /F−1 DPA. A matching strip line is applied on a TLY5 substrate with a substrate thickness of 0.8 mm at ε = 2.2. Fig. 10 shows a schematic of the DPAs. Both DPAs were designed using the same input matching network except for the length of the input phase line to compensate the difference in phase between the Class-F−1 carrier amplifier and Class-F peaking amplifier in the Class-F−1 /F DPA. The load matching network is synthesized using the microstrip line together with a shunt surface mount device component for better feasibility. Fig. 11 shows both fabricated DPAs. For a continuous wave (CW) measurement, as an
additional comparison with a previous compensation technique, a Class-F−1 /F−1 DPA with a gate voltage adaptation is also tested and plotted. The gate bias of the carrier amplifier is set as −2.85 V for both DPAs, whereas the optimized gate bias of the peaking amplifier differs, as analyzed in the Appendix. The gate bias of the peaking amplifier in the Class-F−1 /F DPA is set to −5.75 V, whereas the gate bias of the peaking amplifier in the Class-F−1 /F−1 DPA is set to −4.8 V. For the Class-F−1 /F−1 DPA with a gate voltage adaptation, a dynamic gate voltage is applied from −4.8 to −2.85 V with respect to the input power level. With a 2.4-GHz CW input signal, Fig. 12 shows the measured dc current as a function of the output power. The Class-F−1 /F DPA delivers a dc carrier current of 0.5 A with a dc peaking current of 0.54 A, whereas the Class-F−1 /F−1 DPA delivers a dc carrier current of 0.43 A with a dc peaking current of 0.42 A. This result indicates that a Class-F−1 /F DPA achieves a similar currentdriving capability compared with the gate adaptation case. Figs. 13 and 14 show the measured DE and power gain as a function of the output power together with the simulation results. The Class-F−1 /F DPA exploits a DE of 86.7% at a peak power of 44 dBm, whereas the Class-F−1 /F−1 DPA provides a DE of 82.2% at a peak power of 42.8 dBm. The Class-F−1 /F−1 DPA with a gate voltage adaptation delivers a DE of 83% at a peak power of 44 dBm. Although a similar output power enhancement is achieved, the proposed DPA delivers a 3.7% higher DE.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I P ERFORMANCE C OMPARISON
Fig. 13.
Measured drain efficiency of DPAs for 2.4-GHz CW.
Fig. 15. signal.
Fig. 14.
Measured drain efficiency of DPAs for 2.4-GHz CW.
Fig. 16. Measured drain efficiency and average output power of DPAs for 10-MHz LTE signal at 2.35–2.45 GHz.
The DPAs were characterized for a 10-MHz local thermal equilibrium (LTE) signal with a 6.6-dB PAPR. Fig. 15 shows the measured adjacent channel rejection ratio (ACLR) and
Measured drain efficiency and ACLR of DPAs for 10-MHz LTE
the DE as functions of the average output power. The Class-F−1 /F DPA delivers an average output power of 37.5 dBm with an ACLR of −30 dBc. For such an
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM: HIGHLY EFFICIENT ASYMMETRIC CLASS-F−1 /F GaN DOHERTY AMPLIFIER
7
where the conducting angle is given by
−1 1 Vmax . θb = cos 2 Vin
(A3)
Applying a Fourier expansion in combination with following condition: 1 α F −1 /F F −1 /F IPk,3 = I · cos 3θ dθ = 0 (A4) π −α Pk Fig. 17. Measured output spectrum of Class-F−1 /F DPA at an average output power of 37.3 dBm at 2.45 GHz.
ACLR condition, because of the limited output power, the Class-F−1 /F−1 DPA only provides an average output power of 36 dBm. Fig. 16 shows the measured ACLR and DE as functions of frequency under an ACLR of −30 dBc. Using digital predistortion (DPD) for the proposed DPA, the ACLR reaches below −50 dBc over such a frequency range. Fig. 17 shows the spectral density of a Class-F−1 /F DPA with an average output power of 37.3 dBm with a DE of 68% at 2.4 GHz. The performance of the proposed Doherty amplifier is compared with other studies in Table I. V. C ONCLUSION In this paper, a new asymmetric Class-F−1 /F DPA was proposed to compensate the low current drive of a peaking amplifier in a conventional DPA. The asymmetric current waveforms between the carrier and peaking amplifier offer an asymmetric fundamental current in terms of the input power, ensuring an improvement of the peak output power performance. An asymmetric fundamental carrier and the peaking currents of the Class-F−1 /F DPA were derived using Fourier transforms, and were proven to improve the peak output power and efficiency. For verification, the Class-F−1 /F DPA was validated through the fabrication of a 10-W GaN device. The Class-F−1 /F DPA enhances the peak output power of 1.2 dB with an efficiency improvement of 4.5% compared with a conventional Class-F−1 /F−1 DPA. Using a 10-MHz 6.6-dB PAPR LTE signal, a Class-F−1 /F DPA achieves an average output power of 37.3 dBm with a DE of 68%. A PPENDIX Assuming that V P is the threshold voltage of the given device and Vmax is the maximum input voltage, the gate bias voltage of a peaking amplifier in a Class-F−1 /F DPA is then given by Vmax F −1 /F . (A1) VPk,bias = V P − 2 In [17], inferring that the peaking current of a Class-F−1 /F DPA is not allowed to contain the third-harmonic current component due to the theoretically infinite third-harmonic impedance, the peaking current model during the conduction period can be expressed as ⎫ ⎧
Vmax ⎪ ⎪ ⎪ − α cos 3θ, ⎪ ⎬ ⎨ G m Vin cos θ − 2 F −1 F (A2) = IPk −θb ≤ θ ≤ θb ⎪ ⎪ ⎪ ⎪ ⎭ ⎩ 0, otherwise
leading to
Vmax 2G m Vin 3 · 6 cos θb sin θb − sin(3θb ) . α= Vin (6θb + sin(6θb )) (A5) Substituting (A5) into (A2), and applying a Fourier expansion, the fundamental peaking current components are given as F −1 /F
IPk,1
=
sin a θb Vin G m − θb π π · (G m Vmax − G m Vin cos θb + 2α cos3 θb ).
(A6)
To derive the peaking current of a Class-F−1 /F−1 DPA, the bias voltage of the peaking amplifier should be adjusted because the current waveform is squared with a lower magnitude than a half-sinusoidal waveform. Comparing the magnitude between a half-sinusoidal and squared waveform, the magnitude of the squared waveform is approximately 1.43 times less than that of the half-sinusoidal waveform with same input voltage. Setting γ = 1.43, the gate bias voltage of the peaking amplifier in a Class-F−1 /F−1 DPA can be expressed as F −1 /F −1
VPk,bias
= VP −
Vmax . 2γ
(A7)
Similarly, the peaking current of a Class-F−1 /F−1 DPA is given by ⎧ ⎫
Vmax ⎪ ⎪ ⎪ ⎪ ⎨ G m Vin cos θ − ⎬ 2γ F −1 (A8) IPk = −β cos 2θ, −θa ≤ θ ≤ θa ⎪ ⎪ ⎪ ⎪ ⎩ ⎭ 0, otherwise where the conducting angle is given by
1 Vmax −1 θa = cos . 2γ Vin Applying a Fourier expansion 1 b F −1 /F −1 F −1 /F −1 = I · cos 2θ dθ = 0 IPk,2 π b Pk
(A9)
(A10)
leading to β =
4G m Vin 3 (4θa + sin(4θa )) Vmax · 3 sin θa + sin(3θa ) − 3γ · · cos θa · sin θa . Vin (A11)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
In the same manner, the fundamental peaking current components of a Class-F−1 /F−1 DPA are given as
Vin G m Vmax F −1 /F −1 θa + cos θa sin θa − γ IPk,1 = sin θa π Vin
β sin(3θa ) sin θa + . (A12) − π 3 R EFERENCES [1] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [2] A. Grebennikov, N. O. Sokal, and M. J. Franco, Switchmode RF and Microwave Power Amplifiers, 2nd ed. New York, NY, USA: Academic, 2012. [3] B. Kim, J. Kim, I. Kim, and J. Cha, “The Doherty power amplifier,” IEEE Microw. Mag., vol. 7, no. 5, pp. 42–50, Oct. 2006. [4] A. Grebennikov and S. Bulja, “High-efficiency Doherty power amplifiers: Historical aspect and modern trends,” Proc. IEEE, vol. 100, no. 12, pp. 3190–3219, Dec. 2012. [5] V. Camarchia, M. Pirola, R. Quaglia, S. Jee, Y. Cho, and B. Kim, “The Doherty power amplifier: Review of recent solutions and trends,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 559–571, Feb. 2015. [6] Y. Suzuki, T. Hirota, and T. Nojima, “Highly efficient feed-forward amplifier using a class-F Doherty amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 77–80. [7] S. Goto, T. Kunii, A. Inoue, K. Izawa, T. Ishikawa, and Y. Matsuda, “Efficiency enhancement of Doherty amplifier with combination of class-F and inverse class-F schemes for S-band base station application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 839–842. [8] J. Kim, B. Kim, and Y. Y. Woo, “Advanced design of linear Doherty amplifier for high efficiency using saturation amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1573–1576. [9] Y.-S. Lee, M.-W. Lee, and Y.-H. Jeong, “Highly efficient Doherty amplifier based on class-E topology for WCDMA applications,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 608–610, Sep. 2008. [10] A. Grebennikov, “High-efficiency class-FE tuned power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 10, pp. 3284–3292, Nov. 2008. [11] P. Colantonio, F. Giannini, R. Giofrè, and L. Piazzon, “Theory and experimental results of a class F AB-C Doherty power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 8, pp. 1936–1947, Aug. 2009. [12] V. Carrubba et al., “A dual-band UMTS/LTE highly power-efficient class-ABJ Doherty GaN PA,” in Proc. Eur. Microw. Conf., Sep. 2015, pp. 1164–1167. [13] A. Barakat, M. Thian, and V. Fusco, “A high-efficiency GaN Doherty power amplifier with blended class-EF mode and load-pull technique,” IEEE Trans. Circuits Syst., II, Exp. Briefs, vol. 65, no. 2, pp. 151–155, Feb. 2018. [14] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “High efficiency low-voltage power amplifier design by second-harmonic manipulation,” Int. J. RF Microw. Comput.-Aided Eng., vol. 10, no. 1, pp. 19–32, 1999. [15] F. H. Raab, “Class-E, class-C, and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [16] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for highefficiency class-F and inverse class-F power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1969–1974, May 2006. [17] J. H. Kim, G. D. Jo, J. H. Oh, Y. H. Kim, G. C. Lee, and J. H. Jung, “Modeling and design methodology of high-efficiency class-F and classF−1 power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 153–165, Jan. 2011. [18] J. H. Kim and C. S. Park, “Analysis and implementation of Doherty power amplifier with two-point envelope modulation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1353–1364, May 2012.
[19] H. Lee et al., “Optimized current of the peaking amplifier for two-stage Doherty power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 209–217, Jan. 2017. [20] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers-uneven power drive and power matching,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1802–1809, May 2005. [21] J. Kim, B. Fehri, S. Boumaiza, and J. Wood, “Power efficiency and linearity enhancement using optimized asymmetrical Doherty power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 425–434, Feb. 2011. [22] J. Pang, S. He, Z. Dai, C. Huang, J. Peng, and F. You, “Design of a post-matching asymmetric Doherty power amplifier for broadband applications,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 1, pp. 52–54, Jan. 2016. [23] H. Oh et al., “Doherty power amplifier based on the fundamental current ratio for asymmetric cells,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4190–4197, Nov. 2017. [24] I. Kim, J. Moon, S. Jee, and B. Kim, “Optimized design of a highly efficient three-stage Doherty PA using gate adaptation,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 10, pp. 2562–2574, Oct. 2010. [25] Y. Park, J. Lee, S. Jee, S. Kim, and B. Kim, “Gate bias adaptation of Doherty power amplifier for high efficiency and high power,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 2, pp. 136–138, Feb. 2015. [26] X. H. Fang and K.-K. M. Cheng, “Extension of high-efficiency range of Doherty amplifier by using complex combining load,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2038–2047, Sep. 2014. [27] S. Kim, J. Moon, J. Lee, Y. Park, D. Minn, and B. Kim, “Accurate offset line design of Doherty amplifier with compensation of peaking amplifier phase variation,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 10, pp. 3224–3231, Oct. 2016. [28] M. Özen, K. Andersson, and C. Fager, “Symmetrical Doherty power amplifier with extended efficiency range,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1273–1284, Apr. 2016. [29] X.-H. Fang, H.-Y. Liu, and K.-K. M. Cheng, “Extended efficiency range, equal-cell Doherty amplifier design using explicit circuit model,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 5, pp. 497–499, May 2017.
Joonhyung Kim (M’12–SM’14) received the B.S. degree in electronics from Chonbuk National University, Jeonju, South Korea, in 2001, and the M.S. and Ph.D. degrees in electronic engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2003 and 2014, respectively. From 2003 to 2011, he was a Senior Engineer with the Electronics and Telecommunication Research Institute, Daejeon, where he contributed to the development of mobile and base station architectures for 3G/4G communication systems. From 2011 to 2013, he was a Senior Design Engineer with Skyworks, Seoul, South Korea, where he was involved in the development of GSM/WCDMA/local thermal equilibrium (LTE) power amplifiers and system-level engineering. From 2013 to 2017, he was a Senior RF Design Engineer with Qorvo, Seoul, where he focused on a multiband power amplifier module integrated with a duplexer filter, SOI switch, and lownoise amplifier for LTE handset application. In 2017, he joined the School of Electronics Engineering, Kunsan National University, Gunsan, South Korea, as an Assistant Professor. His current research interests include the analysis of nonlinearities of microwave amplifiers, linearization techniques, and highefficiency improved transmitter techniques.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A 5-GHz Low-Power Low-Noise Integer-N Digital Subsampling PLL With SAR ADC PD Maliang Liu, Rui Ma, Shubin Liu, Zhen Ding, Pan Zhang, and Zhangming Zhu
Abstract— In this paper, we present a low-power low-noise integer-N divider-less digital phase-locked loop (PLL) with high resolution. Phase detection is performed by a proposed analog-todigital converter (ADC)-based time-to-digital converter composed of subsampling, charge pump (CP), time-domain variable-gain amplifier, and successive-approximation register (SAR) ADCs. Subsampling is well known for its high detection gain. The CP and the pulse generating circuit are also introduced to form the time-domain integral variable-gain amplifier, enhancing the resolution. An SAR ADC voltage signals into the digital domain, avoiding the use of analog filter which occupies large area. Moreover, compared to the conventional analog phase detectors, the SAR-ADC phase detector saves more area and power consumption. The novel PLL is implemented in a standard 65-nm CMOS process, occupying an area of 0.12 mm2 . It presents an in-band phase noise of −108 dBc/Hz and an rms jitter of 357 fs at the operating frequency of 5 GHz. In addition, the proposed ADC-PLL achieves a competitively good figure of merit of 243 dB with a power consumption of only 3.9 mW. Index Terms— Digital phase-locked loop (DPLL), divider less, phase detector (PD), subsampling, successive-approximation register analog-to-digital converter (SAR ADC).
I. I NTRODUCTION
A
DVANCED CMOS technologies enable the digital circuits to operate in high speed. With the fine timedomain resolution, digital phase-locked loops (DPLLs) may outperform their analog counterparts [1]. DPLLs have various advantages such as small and configurable loop filter, gearshifted fast settling, portability to other process technologies, and smaller dimension [2]. In order to implement the DPLL, some architectures have been proposed. Time-to-digital converter (TDC) is the essential module in those architectures. Its dynamic range and resolution dominate the time resolution of DPLL. In general, there are two kinds of TDCs: delay-based TDC and analog-to-digital converter (ADC)-based TDC. In order to optimize the resolution of delay-based TDC, some delay-based TDCs [3]–[7] have been proposed. Vernier TDC [3] formed by two delay chains with slightly different delays achieves improved resolution and linearity. However, the integral nonlinearity of
Manuscript received January 14, 2018; revised April 3, 2018; accepted May 15, 2018. This work was supported by the National Natural Science Foundation of China under Grant 61625403 and Grant 61504103. (Corresponding author: Zhangming Zhu.) The authors are with the Shaanxi Key Laboratory of Integrated Circuits and Systems, School of Microelectronics, Xidian University, Xi’an 710071, China (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2840987
this type TDC increases significantly with the increase of the number of the delay-line element. As the dynamic range increases, this TDC will lead to high power consumption. Gated-ring-oscillator-based TDC [4] achieves fine resolution with large dynamic range while suffering nonlinearity due to leakage problems. Time amplifier (TA)-based TDC [5] nonlinearity is greatly affected by TA and its gain follows the variation of process, voltage, temperature (PVT), calibration techniques need be used to overcome the variations. For fractional operation [8], [9], the dynamic range of the delaybased TDC is required to cover one or more oscillation periods range, resulting in high power consumption and large area. It measures phase in the time domain and the resolution depends on the propagation delay of the inverter. The delaybased TDC suffers from the PVT variation. To achieve subpicosecond level time resolution and is less sensitive than delay-based TDC to PVT, ADC-based TDC [10]–[14] is proposed. It typically exhibits better performance than the delay-based TDCs. The ADC-based TDC in [10] consists of phase–frequency detector (PFD), charge pump (CP), and successive-approximation register (SAR) ADC (PFD + CP + SAR ADC). The PFD and the CP translate the time difference to voltage on the capacitive DAC of the SAR ADC. The time-to-voltage conversion of this structure has no gain. Thus, a larger CP current is required to obtain a smaller time resolution, which means large power consumption. Siriburanon et al. [11] adopt subsampling, a variable gain amplifier and Flash ADC to realize TDC. But the flash ADC consumes a large amount of power consumption with limited precision. When this narrow dynamic range ADC-based TDC is applied to the PLL alone, the PLL can only operate integerN mode. In order to realize the fractional-N operation, recent developments [15] in all-digital PLL (ADPLL) structure utilize digital-to-time converter (DTC) to assist the short dynamic range fine TDC [16]. Thus, jitter-power figure of merit (FoM) of fractional-N ADPLL can be improved. To overcome the shortcomings of the previous ADC-based TDC, a novel phase detector (PD) based on ADC is proposed in this paper. The ADC-based PD as a TDC is mainly composed of subsampling, CP, time-domain variable-gain amplifier, and SAR ADC. The proposed TDC directly achieves voltage-to-time conversion. Compared with the PFD + CP + SAR ADC architecture [10], the subsampling technology and time-domain integral variable-gain amplifier (TDI-VGA) were introduced. The subsampling technology has higher gain than PFD, and does not require a divider, thus reduce the phase noise and power consumption contributed
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
Fig. 1.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Proposed ADC-based PD and waveforms.
Fig. 2. ADC sampling and quantization timing. (a) Reset. (b) Tracking. (c) Quantification.
by the divider. Furthermore, the phase noise of the CP is not required to be multiplied by N2 , where N represents the PLL multiplication factor. Thus, a low phase noise can be achieved by using subsampling PD (SS-PD). Moreover, the TDI-VGA formed by the CP and the pulse generating circuit has been introduced to further improve the subsampling resolution. Thus, this approach can achieve an enhanced resolution in the phase digitization with low power consumption. Unlike [11], the variable-gain amplifier is replaced by a CP, and the variable gain is controlled by the integration time. The low-resolution and high-power flash ADC is replaced by an SAR ADC, which saves more energy in this paper. The overview of this paper is as follows. Section II presents the design challenges of PD. Section III describes the circuit details with their linearity design consideration. The experimental results and performance comparison of the proposed structure with previously reported structures are covered in Section IV. Section V provides the conclusion.
where gm is the transconductance of the CP, and Vsam is the sampled DCO output voltage. As we know, the smaller the phase difference, the smaller the voltage difference is. In order to improve the resolution of the phase acquisition, a TDI-VGA is introduced. According to the output of SAR ADC, the pulse generator generates pulses of different time widths, controlling the charging time of the CP to the capacitor of the SAR ADC. If the output of the SAR ADC is small, it will increase the integral time of the capacitor, and a smaller current will produce a larger cumulative voltage. This operation is equivalent to increasing the gain in the time domain. So the resolution of the PD is enhanced. The input voltage of SAR ADC is proportional to pulsewidth t of CP; thus, the sample voltage of the ADC u can be expressed as I × t (2) Ctotal where Ctotal is the sampling capacitance of the SAR ADC. Next, the SAR ADC converts the voltage signal to a digital signal, and then, the digital code goes through a digital loop filter for further processing. Fig. 2 depicts working timing of the ADC, which can be expressed as three phases: the reset phase, the tracking phase, and the quantization phase. During the reset phase process, the CP output current is disconnected from the ADC sampling capacitor and the input node VO of the comparator connects to common voltage. And during the tracking phase, the CP current is connected to the input node VO of the comparator, so that the current ±I flows into or out of the sampling capacitor of the ADC. This will produce a voltage difference across the sampling capacitor, where this voltage difference is proportional to the turn-ON time of the gain control switch. Finally, during the quantization phase process, the connection between the sampling capacitor and the CP is broken, and then, the voltage is sampled on the sampling capacitor. A comparator is used to quantify the sampling voltage with successive approximation timing. The total time resolution of the proposed PD can be expressed as [11] u =
II. ADC P HASE D ETECTOR A. Principle of the Phase Detector Over the past few years, SS-PDs have been widely applied to PLLs [17]–[26]. In such a configuration, the voltagecontrolled oscillator (VCO) output signal is sampled directly using a reference clock and is stored by a capacitor. While the VCO output and the reference clock signal are phase aligned, the reference signal is sampled at zero-crossing point of the VCO output clock. When there is a phase difference between the VCO output and the reference clock signal, the sampling clock will deviate from the zero-crossing point. Thus, the differential output of the sampled digital-controlled oscillator (DCO) will exhibit a voltage difference, representing the phase error. Fig. 1 shows the proposed ADC-based PD and waveforms. First, the DCO output passes through the preamplifier, so that the small swing signal is amplified G times. If the amplified signal exceeds the power supply voltage (VDD), the signal would be clipped. The amplified output is sampled by the reference clock, where the sampled voltage contains time and phase errors. Next, a CP is used to convert the sampled voltage into the current I , and I can be expressed as I = gm Vsam
(1)
t =
v gm T C total
· G · VDCO · 2π fDCO
(3)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: 5-GHz LOW-POWER LOW-NOISE INTEGER-N DIGITAL SUBSAMPLING PLL WITH SAR ADC PD
3
where K ADC is the gain of the ADC-PD, K p and K I are the proportional and integral factors of the digital loop filter, and K DCO is the gain of the DCO, respectively. The SAR ADC delay introduces a zero in right half-plane that make the phase margin worse. The phase margin is thus calculated with [10] −1 2π f BW K p −1 2π f BW P M = tan − tan . (5) · fref Ki f ref
Fig. 3. Relationship among transconductance, time resolution, and tracking time.
The deterioration of the phase margin of the SAR ADC depends on the second term of (5). In this architecture fref = 50 MHz and f BW = 1 MHz, so the phase margin decreases by 7.15°. K p and K I should be adjusted carefully to compensate the degradation of the phase margin caused by ADC. The closed-loop transfer function can be expressed as Hclose(s) Hopen(s) = 1 + Hopen(s)
Fig. 4.
Linear phase model of the ADC-PLL.
K ADC K DCO 1− fsR (K p s + K I f R ) = s 2 + K ADC K DCO K p 1− fsR s + K ADC K DCO K I f R 1− ≈
where G is the gain of the amplifier, VDCO represents the voltage swing of the DCO output, and f DCO is the frequency of the DCO output signal. In our architecture, Vrange = 400 mV as the full swing of SAR ADC, N = 6 as the resolution of SAR ADC, VDCO = 1.2 V represents the full swing of the DCO, G = 2 indicates the gain of the limiting amplifier and buffer and Ctotal = 0.2 pF represents the sampling capacitor of SAR ADC. Fig. 3 shows the relationship among time resolution, tracking time, and transconductance. As the transconductance increases, the increase in time resolution is not obvious, when the transconductance is larger than 0.01 mS, the current of CP increases significantly, On the other hand, the ADC conversion costs 5 ns with the reference clock period of 20 ns, so the maximum integration time is limited to be 15 ns. While the transconductance of the CP is 0.01 mS and the integration time is 15 ns, a timing resolution of 105 fs is achieved. Utilizing the ADC-based TDC, the timing resolution of PLL reaches to the femtosecond level, improving the performance of jitter and phase noise. B. Phase Noise Analysis To analyze the overall noise characteristics of the ADC-PLL, the linear phase model of the ADC-PLL shown in Fig. 4 is considered. Compared to conventional PLLs, ADC-based TDC in this paper introduces one cycle delay, so the impact of the delay needs to be analyzed. A continuoustime and Z-domain hybrid system has been used to model the PLL [12], [14], [23]. In our circuit f BW f ref , z −1 in Fig. 4 can be expressed as 1 − s/ f ref in s-domain. The delay operator z −1 is the control loop delay. The openloop transfer function of the proposed ADC-PLL at the steady state may be derived as K I f ref K DCO s (4) Hopen(s) = K ADC 1 − Kp + fref s s
s2
K ADC K DCO (K p s + K I f R ) . + K ADC K DCO K p s + K ADC K DCO K I f R
s fR
(6)
The delay caused ADC introduces the terms (1 − s/ f R ), 2π f in / f R is much less than 1 in the unity gain bandwidth, so this closed-loop transfer function can be simplified as that of a conventional PLL. The design method in traditional PLL is still suitable for the proposed PLL. The main noise sources of the PLL include the reference clock noise, ADC-PD noise, and DCO noise. The noise of the ADC-PD consists of the preamplifier noise, sampler noise, noise of the CP, and ADC quantization noise. The noise of the CP and ADC quantization noise introduced in the proposed design will be detailed below, and the rest noise resources have already been reported in [11]. The noise of the SS-PD is represented by the output noise voltage and the phase noise output of the steady-state response. As a result of sampling, the SS-PD noise is limited to the Nyquist zone, assuming that noise in the band is white noise, the SS-PD phase noise can be calculated as the following formula: kT (7) L samp = Csam · A2DCO · fref where Csam is the sample capacitor, ADCO is the amplitude of DCO, f ref is the reference frequency. In the proposed architecture, Csam = 60 fF; ADCO = 0.5 V; f ref = 50 MHz; and the phase noise of sample jitter = −142 dBc/Hz. ADC-PD quantization noise is another main noise source of the PD. The ADC-PD quantization noise can be represented by 2 Vrange 1 1 (8) L|ADC-PD = gm T N 12 f ref · G · VDCO · 2 C total
where Vrange is the quantization range of the SAR ADC, gm T /Ctotal is the integration gain, G is the gain of the amplifier, VDCO represents the voltage swing of the DCO output, N is the resolution of SAR ADC.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
The CP noise is another important noise source. In the PLL bandwidth, the open-loop gain is far greater than 1. The closed-loop CP transfer function HCP (s) is approximately equal to 1/βCP , where βCP represents the CP feedback gain, which is the gain of the PLL output to the CP current output, resulting in the phase noise expression formula of the CP in the PLL, represented by [17]: L in-band, CP ≈
1 SiCP,n · SiCP,n · |HCP(s)|2 ≈ 2 2 2βCP
(9)
where SiCP,n is the power spectral density of the CP current noise, and it can be calculated by the following formula: τpul (10) SiCP,n = 8kT γ · gm · Tref where γ represents the noise model of the MOSFET, whose value is generally in the range of 2/3–1.5, and gm represents the transconductance of the main noise contribution transistor, Tref represents the reference clock period. In the conventional PLL, τpul represents the turn-ON time of PFD in steady state. In this paper, τpul is used to control turn-ON time of the CP. The CP noise also depends on the feedback loop gain βCP , and the CP feedback gain expressed by βCP =
i CP ICP 1 · = φVCO 2π N
(11)
where Icp is the current of the CP, and N represents the division ratio. Equation (10) shows that the phase noise of CP in the conventional PLL is inversely proportional to the square of the frequency division ratio. The large frequency division ratio will degrade the phase noise performance. Thus, the feedback loop gain of the CP based on the subsampling structure is expressed as βCP =
τpul i CP = 2 AVCO · gm · . φVCO Tref
(12)
As shown in (10) and (11), βCP is not related to the frequency division ratio in the subsampling structure. The phase noise formulas of the conventional PLL and the sub-sampling structure of the CP are further deduced by the following equations, respectively: 4kT γ · (2π)2 Tref · · N2 2 τpul ICP kT γ Tref = 2 · . ADCO · gm τpul
L in-band,CP =
(13)
L in-band,CP
(14)
Using (6), (7), (12), and (13), the performance of the sampling noise and the quantization noise of ADC-PD and two types of phase noise of CP are obtained'Cas shown in Fig. 5 Cindicating that the subsampling structure can effectively suppress the CP noise, so that the CP noise can be ignored. So in-band phase noise would be limited by the reference noise instead rather than others. III. C IRCUIT I MPLEMENTATION A. Basic Operation Unlike the phase detection purely in the time domain in traditional PLLs, the reference clock is used to sample the
Fig. 5. Theoretical phase noise considering CP, reference noise, and quantization noise of SAR ADC.
waveform signal of DCO in the voltage domain, and the phase difference is translated to the voltage difference by CP. An SAR ADC is used to convert the sampled analog signal into digital code. Then, the output code of SAR ADC is fed into the loop filter, and the output of the loop filter controls the DCO. B. PLL Structure Fig. 6 shows the detailed block diagram of the proposed ADC-PLL. It consists of a frequency-locked loop (FLL) [27] and a phase-locking loop. In FLL, a 12-bit counter is used to measure the frequency of DCO. The deviation of the frequency of DCO can be known by comparing the result of counter with a predefined frequency-controlled word (FCW). A digital filter is added to remove the high-frequency noise. And the DCO is adjusted according to the result of digital filter as shown in the upper part of Fig. 5. The FLL can lock the frequency with a resolution of 1 MHz. In the phase-locking path, an ADC-PD with subsampling architecture is adopted, and the divider in the feedback path in traditional PLL is not needed in this structure [28]. The output of the DCO is sampled by a bootstrapped sample-and-hold circuit directly. The sampled voltage is fed to CP which utilizes the integration time to control the gain. When the sampled voltage is small, the gain of CP becomes larger to improve the resolution of the ADC-based TDC. Then, the outputs of the CP pass through a 6-bit SAR ADC for voltage into digital conversion. Besides, the ADC clocks are generated from the input reference clock, which will be discussed in Section IV-C. ADC is followed by another digital filter, and output of this digital filter determines whether to increase, decrease, or hold the oscillation frequency of the DCO [29], [30]. The first 4-bit output code of it controls the medium capacitor bank of the DCO with resolution of 10 kHz, and the rest bits can achieve a resolution of 1 kHz. C. RF Sample-and-Hold Circuit The sample-and-hold circuit has been widely used in ADC, which mainly consists of a sampling network and a sampleand-hold amplifier. The sample-and-hold amplifier is used to hold the signal in the ADC for quantization. Due to the requirement to process the full-swing input signal, it introduces the distortion and noise. In addition, the sample-andhold amplifier suffers from very high power consumption.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: 5-GHz LOW-POWER LOW-NOISE INTEGER-N DIGITAL SUBSAMPLING PLL WITH SAR ADC PD
Fig. 6.
5
Detailed block diagram of the proposed DPLL with SAR ADC as PD.
Fig. 7 shows the detail schematic of the proposed RF sampling circuit, which mainly includes an ac-coupled input source follower and a bootstrapping bottom-plate sampling circuit. To improve the linearity performance, a dynamically driven deep n-well technique is employed as [31]. Bottom-plate sampling technique is used to reduce charge injection. Source follower is used as an isolation that alleviates its effect to the oscillator. An inverter chain is used to convert the reference clock CLK into a square wave. In order to achieve a low in-band phase noise, a high-quality crystal oscillator with low phase noise is required as the reference clock. High slew rate of the bottom-plate sampling clock effectively reduces the phase noise introduced by sampling [32]. Fig. 8 shows the signal-to-noise distortion ratio (SNDR) and spurious-free dynamic range (SFDR) corresponding to different DCO frequency outputs at a sampling frequency of 50 MHz with source follower and without source follower. It can be seen from Fig. 8 that the 60-dB SNDR and 70-dB SFDR performance can be realized by the bootstrapping sampling switches. When the source follower is added, SFDR will be reduced by about 5 dB, but SNDR remains almost unchanged. The source follower limits the SFDR performance of the entire RF sampling circuit, but the accuracy can still reach the millivoltage level to meet the quantization requirement of ADC.
Int signal is high, the current difference can produce a voltage difference in the SAR ADC. In contrast, while the signal Int is low, the current source is connected to Vdum , therefore the current source is disconnected with the SAR ADC. A unit gain op-amp is connected to these two points to prevent the current source charge sharing. In the locked state, the two CPs provide equal input and output currents, so the voltages of the two CP output nodes are equal, and the input voltage of SAR ADC will be common-mode voltage. In order to minimize the mismatch of the CP as much as possible, the size of input MOSFET and the load is large while the two different pairs of CP are installed in the layout with common-centroid method. The mismatch between the current source pMOS and nMOS is dominant in the typical CP; therefore a differential structure is applied in this paper, and this mismatch converted to common-mode error, thus improving the mismatch performance. In the Monte Carlo simulation of the CP, a histogram representing the mismatch distribution when input is common voltage and integer time is 15 ns, as shown in Fig. 10. The frequency of Int and Int is 50 MHz and Vsamn = Vsamp = 600 mV. The simulation results show σ = 13.6 mV, indicating that the SAR ADC phase detection is not easy to be affected. The current mismatch does not add to CP ripple but merely shifts the locking point away from the VCO zero crossing. The PLL can thus achieve low CP ripple without requiring a good CP match.
D. Charge Pump Fig. 9 shows the schematic of the CP. Two symmetrical CPs are used to form a differential structure. The operation of the single CP is as follows. The differential sampled voltage is converted into a current difference by a transconductance converter and a current mirror. The inflow and outflow of the current are controlled by the control signal Int. While the
E. Pulse Generator and SAR ADC Fig. 11 shows the schematic of pulse generator and diagram of the 6-bit asynchronous SAR ADC. The SAR ADC conversion rate is up to 200 MS/s, which can greatly increase the integration time. The pulse generator is used to generate the clock that controls the SAR ADC and the CP.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 9.
Schematic of the differential CP.
Fig. 10. Monte Carlo simulation for CP (500 simulations, 30 °C temperature, and 1.2-V supply).
Fig. 7.
RF sampling circuit and waveform.
Fig. 11.
Fig. 8. SNDR/SFDR of RF sampling circuit with source follower and without source follower.
The pulse generator shown in the upper part of Fig. 11 uses 3-bit DTC and comparator composition. The M1 operates in the saturation region at the initial stage of discharge with a constant discharge current. The discharge delay is represented by T =
V · C I
(15)
Schematic of the pulse generator and diagram of 6-bit SAR ADC.
where V is the difference between the discharge voltages of the capacitor voltage from VDD to the comparator reference voltage VREF . Because the current of the M1 is approximately constant within this voltage range, the discharge time T is proportional to the load capacitance C. The DTC output voltage is used to compare to the VREF to produce pulse waveforms with different duty cycles. The DTC generates a corresponding discharge delay only when the M1 gate voltage is high. Since the input reference CLK is 50% of the duty cycle, the CLK cannot generate >50% duty cycle directly. Therefore, B3 is used to select the duty cycle. When B3 = 0, 50%.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: 5-GHz LOW-POWER LOW-NOISE INTEGER-N DIGITAL SUBSAMPLING PLL WITH SAR ADC PD
Fig. 12.
Schematic of DCO.
Fig. 13.
Chip microphotograph.
Fig. 15.
Measured phase noise.
Fig. 16.
Frequency spectrum at 5 GHz.
7
constant, obtaining the least significant bit is obtained. After the quantization, the comparator inputs of the SAR ADC are connected together, thus reset the SAR ADC, while capacitors (C1a–C5a) are connected to VREF , and capacitors (C1b–C5b) are reset to 0 (GND). Fig. 14.
Pie chart of power distribution.
In this paper, the asynchronous 6-bit SAR ADC as shown in the lower part of Fig. 11 is designed to uses the splitcapacitor switch principle [33]–[35]. The capacitance of 200 fF is used according to the rules of gain and noise. During the sampling phase, the input signal is sampled on the top plates of capacitor arrays. After the sampling phase, the most significant bit (MSB) can be obtained directly. The bottom plate of C5a of the higher voltage side will be discharged to 0, whereas the bottom plate of C5b of the other side will be charged to VREF . Then, the MSB-1 bit is determined. The same procedure is adopted until the Bit 2 is determined to keep the common voltage of the input of comparator unchanged. Based on the result of Bit 2, the bottom plate of C1a of the side with higher voltage is set to 0, keeping the voltage of the other side
F. Class-B Digitally Controlled Oscillator Fig. 12 shows the schematic of the DCO, which is formed by using cross-coupled LC oscillator [36]–[38]. The structure leverages a three-stage adjustment method, i.e., coarse, medium, and fine tunes. The coarse, medium, and fine-tuning capacitors are composed of an array of binary capacitors, so it is convenient for the binary output of the digital filter to control the DCO output frequency. This also allows a limited number of FCWs to achieve the maximum adjustment range and sufficient regulation accuracy. The FLL is used to control the coarse capacitor array. Since the coarse capacitor array capacitance value is large, a wide range locking state can be obtained and the frequency locking can be accelerated. The MOS capacitor is used in the fine-tuning capacitor array because the capacitance value is small enough to ensure the sufficient regulation accuracy, making the phase locking more
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I P ERFORMANCE C OMPARISON W ITH THE S TATE - OF - THE -A RT D IGITAL PLLs
Fig. 18. Measured phase noise at 1-MHz offset with voltage and temperature variations. Fig. 17.
Simulated and measured phase noise characteristic.
accurate after frequency locked. In addition, the precision of the medium tuning is between course and fine. IV. M EASUREMENT R ESULTS The proposed ADC-PLL is implemented in 65-nm CMOS process. Fig. 13 shows the microphotograph of the fabricated chip, with active area dimensions of 0.12 mm2 , i.e., 0.07 mm2 for the LC DCO, 0.01 mm2 for the SS-PD/CP, and 0.02 mm2 for the digital core and split-SAR ADC. The DCO and its buffer consume 1.4 mW from a 1.2-V supply. The power consumptions of the SS-PD, the CP, and the SAR ADC are 0.2, 0.5, and 0.9 mW, respectively. In addition, the digital blocks (including the digital loop filter and FLL) consume 1 mW. Fig. 14 shows the proportion of the power distribution. The frequency tuning range of the DCO is 4.87–5.12 GHz. Figs. 15 and 16 plot the measured phase noise and frequency spectrum at 5 GHz evaluated using a Keysight N9030A spectrum analyzer. The measured in-band phase noise
is −108 dBc/Hz at 995 kHz offset, and the integrated jitter, 10 kHz–10 MHz, is 0.36 ps with 50-MHz reference clock, the spurs are below −77 dBc. Fig. 17 compares the simulation and measured results of the phase noise curves. Measured phase noise at 1-MHz offset with voltage and temperature variations is shown in Fig. 18. Fig. 19 and Table I present a summary of performance of the FoM in comparison with the state of the arts low-jitter digital PLLs. The FoM of the presented subsampling PLL reaches −243 dB with 357-fs rms jitter. In general, the applied FoM of the PLL synthesizers may be defined as σ PDC t + 10 log . (16) FoM = 20log 1s 1 mW The proposed ADC-PLL achieves competitively good FoM in comparison with previously reported structures [3], [10], [11], [13], [19], and [20], as to [19], where the FoM is 1 dB lower, which may be a benefit of working with a 28-nm technology excluding process advantages. The ADC-based TDC proposed in this paper is the key to achieve a good FoM because of
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: 5-GHz LOW-POWER LOW-NOISE INTEGER-N DIGITAL SUBSAMPLING PLL WITH SAR ADC PD
Fig. 19.
FoM with the state-of-the-art PLLs.
its low power consumption and high time resolution. And the application of SAR ADC and digital blocks saves the area and power consumption at the same time. Furthermore, this method can be combined with DTC to achieve highperformance fractional operation in DPLL. V. C ONCLUSION This paper presents a digital PLL using an ADC-based TDC. A high resolution is achieved because of the utilization of TDI-VGA in PD. Moreover, the proposed subsampling architecture does not require any divider, helping to achieve low phase noise. This ADC-PLL provides −108 dBc/Hz in-band phase noise at 5-GHz operating frequency, with a 3.9-mW power consumption and 0.12-mm2 area. The proposed ADC-PLL provides a fairly good performance in comparison with the previously reported structures. R EFERENCES [1] R. B. Staszewski and P. T. Balsara, All-Digital Frequency Synthesizer in Deep-Submicron CMOS, Hoboken, NJ, USA: Wiley, 2006. [2] R. B. Staszewski, “State-of-the-art and future directions of highperformance all-digital frequency synthesis in nanometer CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 7, pp. 1497–1510, Jul. 2011. [3] B. Shen, G. Unruh, M. Lugthart, C. H. Lee, and M. Chambers, “An 8.5 mW, 0.07 mm2 ADPLL in 28 nm CMOS with sub-ps resolution TDC and < 230 fs RMS jitter,” in Proc. IEEE Symp. VLSI Circuits, Kyoto, Japan, Jun. 2013, pp. C192–C193. [4] J.-Y. Lee, M.-J. Park, B.-H. Min, S. Kim, M.-Y. Park, and H.-K. Yu, “A 4-GHz all digital PLL with low-power TDC and phase-error compensation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 8, pp. 1706–1719, Aug. 2012. [5] H. Wang and F. F. Dai, “A 14-Bit, 1-ps resolution, two-step ring and 2D Vernier TDC in 130nm CMOS technology,” in Proc. IEEE Eur. Solid State Circuits Conf. (ESSCIRC), Leuven, Belgium, Sep. 2017, pp. 143–146. [6] M. Z. Straayer and M. H. Perrott, “A multi-path gated ring oscillator TDC with first-order noise shaping,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1089–1098, Apr. 2009. [7] A. Elkholy, T. Anand, W. S. Choi, A. Elshazly, and P. K. Hanumolu, “A 3.7 mW low-noise wide-bandwidth 4.5 GHz digital fractional-N PLL using time amplifier-based TDC,” IEEE J. Solid-State Circuits, vol. 50, no. 4, pp. 867–881, Apr. 2015. [8] R. B. Staszewski et al., “All-digital PLL and transmitter for mobile phones,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2469–2482, Dec. 2005.
9
[9] C.-R. Ho and M. S.-W. Chen, “A fractional-N DPLL with calibrationfree multi-phase injection-locked TDC and adaptive single-tone spur cancellation scheme,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 63, no. 8, pp. 1111–1122, Aug. 2016. [10] Z. Xu, M. Miyahara, K. Okada, and A. Matsuzawa, “A 3.6 GHz low-noise fractional-N digital PLL using SAR-ADC-based TDC,” IEEE J. Solid-State Circuits, vol. 51, no. 10, pp. 2345–2356, Oct. 2016. [11] T. Siriburanon et al., “A 2.2 GHz -242 dB-FOM 4.2 mW ADC-PLL using digital sub-sampling architecture,” IEEE J. Solid-State Circuits, vol. 51, no. 6, pp. 1385–1397, Jun. 2016. [12] A. Sai et al., “A 65nm CMOS ADPLL with 360µW 1.6ps-INL SS-ADC-based period-detection-free TDC,” in Proc. IEEE Int. SolidState Circuits Conf. (ISSCC), San Francisco, CA, USA, Feb. 2016, pp. 336–337. [13] C.-W. Yao and A. N. Willson, “A 2.8-3.2-GHz fractional-N digital PLL with ADC-assisted TDC and inductively coupled fine-tuning DCO,” IEEE J. Solid-State Circuits, vol. 48, no. 3, pp. 698–710, Mar. 2013. [14] W. S. Chang and T. C. Lee, “A 5 GHz fractional-N ADC-based digital phase-locked loops with −243.8 dB FOM,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 63, no. 11, pp. 1845–1853, Nov. 2016. [15] A. Ximenes, G. Vlachogiannakis, and R. B. Staszewski, “An ultracompact 9.4-14.8-GHz transformer-based fractional-N all-digital PLL in 40-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 11, pp. 4241–4254, Nov. 2017. [16] P. Chen, F. Zhang, Z. Zong, H. Zheng, T. Siriburanon, and R. B. Staszewski, “A 15-μW, 103-fs step, 5-bit capacitor-DACbased constant-slope digital-to-time converter in 28nm CMOS,” in Proc. IEEE Asian Solid-State Circuits Conf. (A-SSCC), Nov. 2017, pp. 93–96. [17] X. Gao, E. A. M. Klumperink, M. Bohsali, and B. Nauta, “A low noise sub-sampling PLL in which divider noise is eliminated and PD/CP noise is not multiplied by N 2 ,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3253–3263, Dec. 2009. [18] C. W. Hsu, K. Tripurari, S.-A. Yu, and P. R. Kinget, “A sub-samplingassisted phase-frequency detector for low-noise PLLs with robust operation under supply interference,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 1, pp. 90–99, Jan. 2015. [19] X. Gao et al., “A 2.7-to-4.3 GHz, 0.16 psrms-jitter, −246.8 dB-FOM, digital fractional-N sampling PLL in 28 nm CMOS,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), San Francisco, CA, USA, Feb. 2016, pp. 174–175. [20] Z.-Z. Chen et al., “14.9 Sub-sampling all-digital fractional-N frequency synthesizer with −111dBc/Hz in-band phase noise and an FOM of −242dB,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), San Francisco, CA, USA, Feb. 2015, pp. 1–3. [21] Z. Ru, P. Geraedts, E. Klumperink, X. He, and B. Nauta, “A 12GHz 210fs 6mW digital PLL with sub-sampling binary phase detector and voltage-time modulated DCO,” in Proc. IEEE Symp. VLSI Circuits, Kyoto, Japan, Jun. 2013, pp. 194–195. [22] Y. H. Liu et al., “An ultra-low power 1.7-2.7 GHz fractional-N subsampling digital frequency synthesizer and modulator for IoT applications in 40 nm CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, no. 5, pp. 1094–1105, May 2017. [23] W.-S. Chang, P.-C. Huang, and T.-C. Lee, “A fractional-N divider-less phase-locked loop with a subsampling phase detector,” IEEE J. SolidState Circuits, vol. 49, no. 12, pp. 2964–2975, Dec. 2014. [24] X. Yi, C. C. Boon, J. Sun, N. Huang, and W. M. Lim, “A low phase noise 24/77 GHz dual-band sub-sampling PLL for automotive radar applications in 65 nm CMOS technology,” in Proc. IEEE Asian Solid-State Circuits Conf. (A-SSCC), Singapore, Nov. 2013, pp. 417–420. [25] Y.-H. Liu et al., “An ultra-low power 1.7-2.7 GHz fractional-N subsampling digital frequency synthesizer and modulator for IoT applications in 40 nm CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 64, no. 5, pp. 1094–1105, May 2017. [26] X. Gao, E. A. M. Klumperink, P. F. J. Geraedts, and B. Nauta, “Jitter analysis and a benchmarking figure-of-merit for phase-locked loops,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 2, pp. 117–121, Feb. 2009. [27] P.-C. Huang, W.-S. Chang, and T.-C. Lee, “A 2.3 GHz fractional-N dividerless phase-locked loop with 112 dBc/Hz in-band phase noise,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), San Francisco, CA, USA, Feb. 2014, pp. 362–363.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
[28] D. Cai, H. Fu, J. Ren, W. Li, N. Li, H. Yu, and K. S. Yeo, “A dividerless PLL with low power and low reference spur by aperturephase detector and phase-to-analog converter,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 1, pp. 37–50, Jan. 2013. [29] S.-Y. Yang, W.-Z. Chen, and T.-Y. Lu, “A 7.1 mW, 10 GHz all digital frequency synthesizer with dynamically reconfigured digital loop filter in 90 nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 3, pp. 578–586, Mar. 2010. [30] T.-K. Kuan and S.-I. Liu, “A digital bang-bang phase-locked loop with automatic loop gain control and loop latency reduction,” in Proc. IEEE Symp. VLSI Circuits, Kyoto, Japan, Jun. 2015, pp. C138–C139. [31] J. Brunsilius et al., “A 16b 80MS/s 100mW 77.6dB SNR CMOS pipeline ADC,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC), San Francisco, CA, USA, Feb. 2011, pp. 186–188. [32] A. M. A. Ali, C. Dillon, R. Sneed, A. S. Morgan, S. Bardsley, J. Kornblum, and L. Wu, “A 14-bit 125 MS/s IF/RF sampling pipelined ADC with 100 dB SFDR and 50 fs jitter,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1846–1855, Aug. 2006. [33] C.-C. Liu, S.-J. Chang, G.-Y. Huang, Y.-Z. Lin, and C.-M. Huang, “A 1V 11fJ/conversion-step 10bit 10MS/s asynchronous SAR ADC in 0.18μm CMOS,” in Proc. Symp. VLSI Circuits, Honolulu, HI, USA, 2010, pp. 241–242. [34] Y. C. Lien, “A 4.5-mW 8-b 750-MS/s 2-b/step asynchronous subranged SAR ADC in 28-nm CMOS technology,” IEEE Symp. VLSI Circuits (VLSIC), Honolulu, HI, USA, Jun. 2012, pp. 88–89. [35] P. Nuzzo, C. Nani, C. Armiento, A. Sangiovanni-Vincentelli, J. Craninckx, and G. Van der Plas, “A 6-bit 50-MS/s threshold configuring SAR ADC in 90-nm digital CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 1, pp. 80–92, Jan. 2012. [36] A. Chen et al., “9 GHz dual-mode digitally controlled oscillator for GSM/UMTS transceivers in 65 nm CMOS,” in Proc. IEEE Asian Solid State Circuits Conf. (ASSCC), Jeju, South Korea, Nov. 2007, pp. 432–435. [37] S.-S. Yoo, Y.-C. Choi, H.-J. Song, S.-C. Park, J.-H. Park, and H.-J. Yoo, “A 5.8-GHz high-frequency resolution digitally controlled oscillator using the difference between inversion and accumulation mode capacitance of pMOS varactors,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 375–382, Mar. 2011. [38] S. Perticaroli, S. D. Toso, and F. Palma, “A harmonic class-C CMOS VCO-based on low frequency feedback loop: Theoretical analysis and experimental results,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 9, pp. 2537–2549, Sep. 2014.
Maliang Liu received the B.S. degree in electronic and information engineering, M.S. degree in signal and information processing, and Ph.D. degree in microelectronics from Xidian University, Xi’an, China, in 2008, 2011, and 2014, respectively. He is currently an Associate Professor with the School of Microelectronics, Xidian University. His current research interests include ADC/DAC, singlechip mm/RF radar, and LiDAR.
Rui Ma received the B.S., M.S., and Ph.D. degrees in microelectronics from Xidian University, Xi’an, China, in 2010, 2013, and 2017, respectively. His current research interests include mixed-signal integrated circuits, integrated optical receivers for LiDAR, and data converters.
Shubin Liu received the B.S. and Ph.D. degrees in microelectronics from Xidian University, Xi’an, China, in 2007 and 2014, respectively. His current research interests include data converters and mixed-signal and RF integrated circuits.
Zhen Ding is currently pursuing the M.E. degree at the School of Microelectronics, Xidian University, Xi’an, China. His current research interests include nanoscale ADC and low-power analog integrated circuits design.
Pan Zhang received the B.S. degree in microelectronics from Xidian University, Xi’an, China, where he is currently pursuing the M.E. degree. His current research interests include CMOS RF circuit design and analog/digital PLL frequency synthesizers.
Zhangming Zhu received the M.S. and Ph.D. degrees in microelectronics from Xidian University, Xi’an, China, in 2001 and 2004, respectively. He is currently a Professor with the School of Microelectronics, Xidian University. His current research interests include CMOS data converters and AFE, mixed-signal and RF integrated circuits, greenpower ICs, 3-D ICs, and IPD-based TSV.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Letters Comments on “Branch-Line Couplers Using Unequal Line Lengths” Qing He , Lianqing Zhu, and Zhanguo Dong
I
N THE above paper [1], Toker et al. proposed a general solution of branch-line coupler which is a perfect design. Since this paper was published, it has been widely cited by and referenced in the other papers and patents. However, when we referred to it, we found a wrong equation. The expression in [1, eq. (4)] is as follows: Z 1 sin θ1 Ao = D o = cos θ1 + . (1) Z 2 sin θ22 After we examined/evaluated it, the correct equation should be Z 1 sin θ1 . (2) Ao = D o = cos θ1 + Z 2 tan θ22
We think that as one of the classical configurations for the branch-line coupler, the equations should be rigorous to avoid misleading other readers. The final results and conclusions of the original paper are correct, which is verified by its analysis.
R EFERENCES [1] C. Toker, M. Saglam, M. Ozme, and N. Gunalp, “Branch-line couplers using unequal line lengths,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 4, pp. 718–721, Apr. 2001.
Manuscript received March 8, 2018; revised May 3, 2018; accepted May 16, 2018. This work was supported in part by the Project of Backbone Talents for Beijing under Grant 2016000020124G071 and in part by the 2017–2018 ShiPei Program of BISTU. (Corresponding author: Qing He.) The authors are with the School of Instrument Science and Opto-Electronics Engineering, Beijing Information Science and Technology University, Beijing 100191, China (e-mail:
[email protected]). Digital Object Identifier 10.1109/TMTT.2018.2841828 0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Letters Authors’ Reply to “Comments on ‘Branch-Line Couplers Using Unequal Line Lengths”’ Canan Toker , Mustafa Saglam, Mustafa Ozme, and Nilgun Gunalp
T
HE comments of He et al. [1] pointed out an error in the above-cited paper [2, eq. (4)], which occurred when copying the manuscript and it does not impair the rest of the paper because the correct formula is used throughout the analysis. So there should be no question about the correctness of the final results and conclusions of the paper [2].
R EFERENCES [1] Q. He, L. Zhu, and Z. Dong, “Comments on ‘Branch-line couplers using unequal line lengths,”’ IEEE Trans. Microw. Theory Techn., to be published. [2] C. Toker, M. Saglam, M. Ozme, and N. Gunalp, “Branch-line couplers using unequal line lengths,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 4, pp. 718–721, Apr. 2011.
Manuscript received May 3, 2018; accepted May 16, 2018. (Corresponding author: Canan Toker.) C. Toker and N. Gunalp are with the Department of Electrical and Electronics Engineering, Middle East Technical University, 06531 Ankara, Turkey (e-mail:
[email protected]). M. Saglam was with MIKES Microwave Electronic Systems Inc., 06531 Ankara, Turkey. He is now with the Institute of Microwave Electronics, Darmstadt University of Technology, 64283 Darmstadt, Germany. M. Ozme is with ASELSAN (Military Electronics Industries), 06531 Ankara, Turkey. Digital Object Identifier 10.1109/TMTT.2018.2847683 0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
Letters Corrections to “Linear Analysis of High-Frequency Field-Effect Transistors Using the CN-FDTD Method” Babak Honarbakhsh
I
N the above-cited paper [1], the Crank–Nicolson finitedifference time-domain method is used for the analysis of a high-frequency field-effect transistor (FET). However, two references are missed. In addition, there are some errors in (6b), Fig. 2, and Table I. The reference of Figs. 1 and 2 is [2]. The reference of Table I is [3]. In (6b), L dd , L gg , L ss , Mgd , and G m should be, respectively, L d , L g , L s , Mdg , and gm . The corrected version of Fig. 2 is depicted here, wherein the designations are consistent with the present correction. The corrected table is also reported here, subsequently, wherein the values are valid when the transistor is biased at Vds = 3 V and Ids = 10 mA.
TABLE I VALUES OF THE D ISTRIBUTED M ODEL E LEMENTS
R EFERENCES
Fig. 2.
Distributed model: representing small segment of FET.
[1] S. Asadi and B. Honarbakhsh, “Linear analysis of high-frequency fieldeffect transistors using the CN-FDTD method,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 6, pp. 1946–1954, Jun. 2017. [2] A. Abdipour and A. Pacaud, “Complete sliced model of microwave FET’s and comparison with lumped model and experimental results,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 1, pp. 4–9, Jan. 1996. [3] S. Asadi, “Signal and noise time-domain models for microwave FETs,” Ph.D. dissertation, Dept. Elect. Eng., Ottawa Univ., Ottawa, ON, Canada, 2011.
Manuscript received May 5, 2018; revised May 23, 2018; accepted May 30, 2018. The author is with the Electrical Engineering Department, Shahid Beheshti University, Tehran 1983969411, Iran (e-mail:
[email protected]). Digital Object Identifier 10.1109/TMTT.2018.2847681 0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
Digital Object Identifier 10.1109/TMTT.2018.2863998
Digital Object Identifier 10.1109/TMTT.2018.2864018
SEPTEMBER 2018
VOLUME 66
NUMBER 9
(ISSN 0018-9480)
IETMAB
JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS JOURNAL WITHIN A JOURNAL PAPERS
Wireless Communication Systems √ A 7.52-dB Noise Figure 128.75–132.25-GHz Super-Regenerative Receiver With 0.615-fW/ Hz NEP by Coupled Oscillator Networks for Portable Imaging System in 65-nm CMOS ........... S. Ma, H. Yu, Q. J. Gu, and J. Ren 63.5–65.5-GHz Transmit/Receive Phased-Array Communication Link With 0.5–2 Gb/s at 100–800 m and ± 50° Scan Angles ............................................... B. Rupakula, A. Nafe, S. Zihir, Y. Wang, T.-W. Lin, and G. Rebeiz A SiGe Highly Integrated FMCW Transmitter Module With a 59.5–70.5-GHz Single Sweep Cover .................... ................................................................................................................ I. M. Milosavljevi´c, D. P. Krˇcum, Ð. P. Glavonji´c, S. P. Jovanovi´c, V. R. Mihajlovi´c, D. M. Tasovac, and V. M. Milovanovi´c A 3.1–10.6-GHz 57-Bands CMOS Frequency Synthesizer for UWB-Based Cognitive Radios ........................... ..................................................................................................... N.-S. Kim and J. M. Rabaey A Reflection-Aware Unified Modeling and Linearization Approach for Power Amplifier Under Mismatch and Mutual Coupling ...................................... S. K. Dhar, A. Abdelhafiz, M. Aziz, M. Helaoui, and F. M. Ghannouchi Wireless Power Transfer and RFID Systems Accurate Modeling of Coil Inductance for Near-Field Wireless Power Transfer ............................................ ......................................................................... S. R. Khan, S. K. Pavuluri, and M. P. Y. Desmulliez Design Approach for Efficient Wireless Power Transfer Systems During Lateral Misalignment ......................... ................................................................................. A. Barakat, K. Yoshitomi, and R. K. Pokharel Integrated Cooperative Ambient Power Harvester Collecting Ubiquitous Radio Frequency and Kinetic Energy ....... ......................................................................................... X. Gu, S. Hemour, L. Guo, and K. Wu Analysis and Design of Distributed Power Detectors ............................................. S. Qayyum and R. Negra Microwave Imaging and Radar Applications On Monostatic and Bistatic System Concepts for mm-Wave Radar MMICs ................................................ .......................................................... M. Hitzler, P. Grüner, L. Boehm, W. Mayer, and C. Waldschmidt An X-Band Frequency-Modulated Continuous-Wave Radar Sensor System With a Single-Antenna Interface for Ranging Applications .................................. H.-C. Chou, Y.-H. Kao, C.-C. Peng, Y.-J. Wang, and T.-S. Chu
4095 4108
4121 4134 4147
4158 4170 4178 4191
4204 4216
(Contents Continued on Page 4094)
(Contents Continued from Page 4093) Microwave Sensors and Biomedical Applications Integrated 240-GHz Dielectric Sensor With dc Readout Circuit in a 130-nm SiGe BiCMOS Technology .............. ... D. Wang, K. Schmalz, M. H. Eissa, J. Borngräber, M. Kucharski, M. Elkhouly, M. Ko, H. J. Ng, and D. Kissinger High Ranging Accuracy and Wide Detection Range Interferometry Based on Frequency-Sweeping Technique With Vital Sign Sensing Function ........................................................ T.-H. Liu, M.-L. Hsu, and Z.-M. Tsai Development and In Vivo Performance Evaluation of 10–60-MHz Band Impulse-Radio-Based Transceiver for Deep Implantation Having 10 Mb/s .................................................................................................... ................................... J. Wang, K. Nomura, H. Narita, F. Ito, D. Anzai, J. Bergsland, and I. Balasingham Doppler Vital Signs Detection in the Presence of Large-Scale Random Body Movements ................................ ........................................... Q. Lv, L. Chen, K. An, J. Wang, H. Li, D. Ye, J. Huangfu, C. Li, and L. Ran A Transmission Line Model for the Evaluation of MRI RF-Induced Fields on Active Implantable Medical Devices ................................................................. J. Liu, J. Zheng, Q. Wang, W. Kainz, and J. Chen Microwave Photonics Fundamental/Subharmonic Photonic Microwave I/Q Up-Converter for Single Sideband and Vector Signal Generation ...................................................................... Y. Gao, A. Wen, W. Jiang, Y. Fan, Y. He, and D. Zhou Flexible New Opto-Microwave Design Approach for Radio-Over-Fiber Applications: A Case Study of Low-Cost 60-GHz VCSEL-Based IF-RoF Link .......................... C. Viana, Z. G. Tegegne, J.-L. Polleux, and C. Algani Phase Noise Measurement of RF Signals by Photonic Time Delay and Digital Phase Demodulation ................... ...................................................................................................... J. Shi, F. Zhang, and S. Pan
4232 4242 4252 4261 4271
4282 4293 4306
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A 7.52-dB Noise Figure 128.75–132.25-GHz √ Super-Regenerative Receiver With 0.615-fW/ Hz NEP by Coupled Oscillator Networks for Portable Imaging System in 65-nm CMOS Shunli Ma , Member, IEEE, Hao Yu, Senior Member, IEEE, Qun Jane Gu , Senior Member, IEEE, and Junyan Ren, Member, IEEE
Abstract— This paper presents a low noise figure (NF) and high gain super-regenerative receiver (SRR) with coupled networks for portable millimeter-wave (mm-wave) imaging systems. Compared with the previous SRR designs, this paper presents a novel SRR structure comprising of two oscillators coupled by a serial resonator, which consists of the inductors and capacitors. Due to the coupled oscillators, the gain of the SRR is significantly improved and the NF of the SRR structure is reduced by 3 dB simultaneously. These benefits lead to a high-sensitivity SRR at mm-wave frequency. The circuit is realized in 65-nm CMOS process with a core area of 0.06 mm2 . Measured results show that the receiver can detect −84-dBm minimum input power with 541-MHz integration bandwidth (BW). It has a noise-equivalentpower of 0.615 fW/(Hz), a NF of 7.52 dB, a BW of 3.5 GHz, and a power consumption of 8.1 mW. With frequency calibration procedure, these performances show the proposed chip meets portable imaging system requirement. Index Terms— Coupled oscillator networks, noise figure (NF), phase noise, super-regenerative receiver (SRR), zero phase shifter (ZPS).
I. I NTRODUCTION
M
ILLIMETER-WAVE (mm-wave) imaging systems have been demonstrated to detect covered objects for security and bio-imaging [1]. Compared to the microwave frequency regions, mm-wave signals have the ability to penetrate clothing and detect weapons due to the distinct transmission and reflective response in different materials. Meanwhile, mmwave imaging is also utilized for biomedical imaging purposes due to its nonionizing characteristics compared to the X-rays. Manuscript received December 10, 2017; revised April 2, 2018; accepted May 6, 2018. This work was supported by the National Science Foundation of China under Grant 61741401. (Corresponding author: Shunli Ma.) S. Ma and J. Ren are with the State Key Laboratory of ASIC and System, Fudan University, Shanghai 200433, China (e-mail:
[email protected];
[email protected]). H. Yu is with the Interdisciplinary Graduate School and the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail:
[email protected]). Q. J. Gu is with the Department of Electrical and Computer Engineering, University of California at Davis, Davis, CA 95616 USA (e-mail:
[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2836402
Fig. 1. Types of the mm-wave imaging sensors. (a) Passive imaging system. (b) Reflected imaging system. (c) Transmission imaging system.
What is more, the strong attenuation of the radiation in mmwave frequency by water produces the required contrast to allow the identification of skin cancer [2]. The mm-wave imaging systems have received a lot of attention over the last few years. The mm-wave imaging systems [3]–[6] are classified into passive imaging and active imaging as shown in Fig. 1(a)–(c) based on the necessity of the illumination source. The passive imaging constructs the image by detecting the blackbody radiation of the objects without illumination source. Due to the small emitted power, passive imaging systems need ultralow noise and wideband low noise amplifier (LNA), which is difficult to implement in CMOS. The active mm-wave imaging systems are further classified into reflected imaging and transmission imaging systems. Due to the limited transmission ability of mm-wave signal, in the reflected imaging type systems the illumination source and receiver are placed at the same side of the object, as shown in Fig. 1(b). For instance, reflected imaging systems are widely used in airport security checking. However, these systems are bulky and consume power, thus they cannot meet the portable imaging system requirements.
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
In order to realize the portable and real-time imaging system, a low power and large pixel array is essential. Superregenerative receiver (SRR) is a promising technique to realize such a system with an ultralow power consumption with the elimination of the complex mixed-signal modules such as mm-wave phase locked loop (PLL), analog-to-digital converters (ADCs), digital-to-analog converters (DACs), and powerhungry digital blocks. Advanced CMOS process is favored for system-on-chip integration due to its higher integration capability, costeffectiveness, and comparable f t to these processes, which makes the compact mm-wave imaging systems on a chip possible. However, the low-resistivity substrate of advanced CMOS process (∼10 ·cm) induces significant loss for passive devices such as inductors, capacitors, and transformers. As a result, the quality factor (Q) of the passive device is degraded severely. Meanwhile, the lossy substrate also reduces the gain of the transistors at mm-wave frequency range. Thus, it is difficult to obtain high gain and large output power in conventional CMOS process. The link budget shows the output signals of the source have to travel path-I and path-II which cause significant power attenuation at the mm-wave frequency as shown in Fig. 1(c). Furthermore, the absorption power and reflective loss by the targets also reduce the power level at the receiver side. As a result, a high-sensitivity SRR is desirable in imaging systems. The sensitivity of the SRR is highly determined by its gain and noise figure (NF). Moreover, the bandwidth (BW) of the SRR should be large enough to cover the variation between different receivers in large imaging array and the BW variation range is around 1 GHz between different receivers over the process, voltage, and temperature variations. Few SRRs meet all the aforementioned requirements [1]–[6]. For instance, in [2], two oscillators were cascaded to improve the gain of SRR and controlled by two quench signals. This structure can improve the gain of SRR. However, this SRR needs two quench signals and its sensitivity is highly dependent on the phase difference between the two quench signals. Shang et al. [7], [9] and Fei et al. [8] proposed to improve the gain of SRR by utilizing a high Q passive structure with metamaterial resonator. But the approach has a limitation to improve the gain in further. Feng et al. [10] using charge accumulation method to improve the gain of SRR, the sensitivity is not enough. The above-mentioned works cannot optimize the gain, NF, and BW simultaneously. Here, we propose a coupled oscillator with one quench signal to reduce the NF and improve gain at the same time. By using tunable varactor, the BW of receiver is reconfigurable and it is extent to 3.5 GHz without sacrificing the gain and NF. The rest of this paper is organized as follows. Section II introduces the theory of the proposed SRR and frequency calibration procedure when it is utilized in large imaging array. Section III, the NF and the gain improvement are analyzed in detail. Section IV shows the SRR circuits design and its passive layout design. Measurements are presented in Section V. Conclusions are summarized in Section VI.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
II. T HEORY A NALYSIS OF THE P ROPOSED SRR AND F REQUENCY C ALIBRATION M ETHOD In this section, the theory of the SRR is reviewed. Meanwhile, the model of the proposed SRR is introduced. Finally, the wideband design and frequency calibration is presented. A. Theory Analysis of Conventional SRR The traditional mm-wave SRR consists of an LNA, an oscillator controlled by a quench signal and an envelope detector. The input of the LNA is matched to 50 and the output is connected to the oscillator. The detector detects the envelope output of the quench-controlled oscillator and outputs a corresponding a low frequency voltage. As shown in Fig. 2(a), the input power whose frequency is close to the free-running frequency of the oscillator is injected into the oscillator when the quench signal is enabled. The startup process of the oscillator is benefited from the input signal power. Different input powers are corresponding to different startup times. The startup enveloped is converted to a low frequency voltage. The SRR model consists of an inductor L, a capacitor C, a parallel resistance R, a transconductance controlled by a quench signal, and an injected signal i a (t) as shown in Fig. 2(b) and (c). It is different from LC-tank oscillator models whose transconductance compensates the tank loss and keeps it oscillating. Moreover, the poles of LC-tank oscillators are always at left side of the complex plane. Compared to the model of the oscillator, the model of the SRR is different because the poles vary during one period of the quench signal. The poles are shifted from left side to right side during one period, which results in a linear time-varying (LTV) system. It is well known that the frequency characteristics are strictly determined by the static location of the poles in linear timeinvariant system. However, the frequency characteristics of the SRR are also dependent on the damping function that describes the poles location variation with time. Based on the simplified circuit model as well as its feedback model for conventional SRRs with a single quench-controlled oscillator [11], the timevarying transfer function of SRR can be expressed as Z TV (s, t) =
Z 0 ω0 s 2 s 2ζ (t)ω0 s + ω02
(1)
√ √ where ω0 is 1/ LC, Z 0 is L/C, and ζ (t) is damping function ζ (t) = ζ0 (1 − G m (t)R) = ζdc + ζac
(2)
where ζ0 is a constant number, G m (t) is the transconductance of cross-coupled transistor. ζdc and ζac are dc and ac characteristics of an SRR, respectively. Note that the receiver’s behavior is mainly determined by ζac characteristics of the damping function. In imaging sensing process, SRR works in linear mode or logarithmic mode depending on the input power. When the input power is small enough and SRR is in linear mode, the envelope of the SRR’s output is proportional to the amplitude of the input signal. When the input power is large enough and SRR works in logarithmic mode, the integral of its envelope is proportional to the logarithm of the input signal’s amplitude. Equation (1)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MA et al.: 7.52-dB NF 128.75–132.25-GHz SRR
Fig. 2.
3
(a) Conventional structure of the SRR. (b) Simplified circuits of the traditional SRR. (c) Model of the traditional SRR.
can be transferred to the differential equation to describe the LTV model of the SRR ν0 (t)2ζ (t)ω0 ν0 (t) + ω02 ν0 (t) = 2Rζ0 ω0 i a (t)
(3)
where ν0 (t) is the output voltage of the SRR and i a (t) is the injected signal. The general solution for the differential equation in (3) has a time-dependent gain term μ(t) and the filtering term k(t) which can be expressed as ν0 (t) = Z 0 μ(t)k(t)
(4)
where the damping signal ζ (t) is a ramping signal ζac (t) = −βt. A sinusoidal quench signal can be approximated as a ramp in the vicinity of the critical instance where the SRR crossover form Q-enhanced to oscillation mode. The gain function μ(t) of the SRR becomes μ(t) = κe 2 ω0 βt 1
2
(5)
where β is the slope (G m R), and κ is a constant. The gain function μ(t) determines the gain of the SRR.
to the two oscillators. At the working frequency around ω0 , the impedance of serial resonator is nearly zero, which is much smaller than parallel resonator. Equation (6) is simplified as Z NTV (s, t) =
Z RLC (s) 1 − [G m1 (t) + G m2 (t)]Z RLC (s)
where high-order terms are neglected due to small value at the beginning of the startup. Substituting Z RLC (s) into (7), the damping function ζn (t) of the proposed system can be expressed as ζn (t) = ζ0 [1 − G m1 (t)R(1 + e j ϕ )].
This paper proposes a novel SRR structure with coupled network, which is a serial resonator. The simplified circuit and feedback loop model of the SRR with two coupled quenchcontrolled oscillators are shown in Fig. 3(a) and (b). Its transfer function can be expressed as follows: Z NTV (s, t) =
Z RLC (s)Z RLC (s)Z C (s) [1−G m1(t)Z RLC (s)][1−G m2(t)Z RLC (s)]Z c2 (s) (6)
where Z RLC (s) is the impedance of the parallel resonator, and Z C (s) is the impedance of the coupled network, as shown in Fig. 3(c) and (d). Note that G m1 (t) and G m2 (t) are determined by the phase difference between the injected signals
(8)
Note that the value G m2 (t) is equal to G m1 (t), and a phase difference ϕ is introduced due to the phase difference from the injected signals. Therefore, when the damping signal is a ramping signal with slope β, the damping function becomes ζn (t) = 1 − (1 + e j ϕ )βt.
(9)
As a result, the gain function μn (t) is expressed as μn (t) = κe 2 ω0 β(1+e 1
B. Theoretical Analysis of the Proposed SRR Based on CON Structure
(7)
j ϕ )t 2
.
(10)
One can observe that the gain functions are influenced by the phase differences of the injected signal between the two oscillators. C. Wideband Design and Frequency Calibration of the Proposed SRR Due to the compact size and low-power consumption of the SRR, it is suit for large array imaging. However, the operating frequencies of the SRRs in large array are different because the SRRs eliminate PLL and their working frequencies are determined by the free-running frequencies of the oscillators. In order to fulfill the imaging system requirements, the BW of the receiver should be reconfigurable to overcome the process variation between the chips as shown in Fig. 4(a).
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 3. (a) Proposed SRR structure with coupled oscillators by ZPS. (b) Envelope output of the traditional SRR and the proposed SRR with a different input power. (c) Simplified circuits of the proposed SRR. (d) Model of the proposed SRR.
Compared to a conventional SRR, the proposed SRR consists of the two voltage controlled oscillators (VCOs). Due to the unbalance loading of the two VCOs and process variation, the free-running frequencies of the two VCOs are different. Fortunately, with the strong coupling by the resonator, the two oscillators are synchronized with the same control voltages (VCT). In order to realize wideband SRR, a varactor is utilized in the LC-tank oscillator. Because the capacitive value of the varactor is determined by VCT, the free-running frequency of the VCO can be adjusted. Thus, setting the VCTs of different receivers with DACs, the operating frequency variations between the chips can be eliminated. The aim of the calibration algorithm is to find appropriate VCTs for the SRRs array. The algorithm is automatically implemented by field programmable gate array (FPGA). The algorithm is realized as shown in Fig. 4(b). First, we set the working frequency of the system. Through the self-oscillation frequencies of the SRRs are different, most of their working frequency ranges are overlapped. For an instance, the working frequency of SRR11 is from 128.75 to 132.25 GHz, and the working frequency of SRR12 is from 128.65 to 132.10 GHz. The working frequency of the system is around the center of the working ranges. In this paper, we set 130 GHz as the working frequency of the system. Then, the calibration algorithm is started. Second, the FPGA controls switches and DAC output is connected to the VCT of an SRR in the array. Then, the DAC output voltage sweeps from 0 to 1.2 V, and the
working frequency of the SRR is changed. Third, the output voltage of the SRR is digitized by the ADC. The digital output codes are sent to FPGA by LVDS. When the output codes are maximum, the working frequency of the SRR is 130 GHz and the VCT voltage is stored in FPGA. Finally, all receivers are measured and the appropriate VCTs are found for receivers. Then, the calibration algorithm is finished. The imaging reconstruction is realized in digital domain. The super-regenerative interference of imaging arrays is reduced by adding isolation structures between antennas and choosing the appropriate timing control sequences for the receivers as shown in Fig. 4(c). III. P ERFORMANCE I MPROVEMENT OF THE P ROPOSED SRR Based on (10), when the phase difference becomes zero, the NF and gain are optimized and low-power consumption is achieved. In this paper, a zero phase shifter (ZPS) is proposed to couple two quench-controlled oscillators. The ZPS is a serial resonator consisting of inductors and capacitors, and it has a ZPS at the resonation frequency, which is the same as the free-running frequency of the two VCOs. Compared to the transformer coupled method [2], the coupled VCOs by ZPS have in-phase output and do not introduce the extra phase between two VCOs. As a result, only one quench signal is needed.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MA et al.: 7.52-dB NF 128.75–132.25-GHz SRR
5
equal to C V0 . The phase noise describes the degree of the energy spreading about the center frequency for a given total energy, and it can be expressed as L(ω) =
2D (ω) + 2
D2
≈
2D (ω)2
(12)
where D is the phase diffusion constant [16] that characterizes how fast the phase diffusion occurs and determines the phase noise subject to white noise i n (t). If the offset frequency ω = ω − ω0 is large enough compared to D, the phase noise equation can be further simplified. The phase diffusion function is highly dependent on the ISF and the relationship can be expressed as D=
i n2 2
4(qmax )2 f eff,rms 1
(13)
where eff,rms signifies the root-mean-square (rms) of the effective ISF. The synchronized coupled oscillators can be visualized on two V –I trajectories. When two oscillators are synchronized, the outputs of VCOs are in-phase which means the vectors in V –I trajectories are in phase. Then, the coupled oscillators become a system whose total charge can be expressed as CON = C Vosc1 + C Vosc2 = 2C V0 qmax
Fig. 4. (a) Interface between the proposed SRR arrays and FPGA. (b) Frequency calibration of the imaging system with the proposed SRRs. (c) Testing PCB board with antennas and chips.
A. Noise Figure Improvement of the Proposed CONs The NF of the SRR is determined by the phase noise of oscillator that is well studied [11]–[16]. However, a few works analyze phase noise of the coupled oscillators [17]. This paper introduces the phase noise analysis of the coupled oscillator in its essential physical understanding. The dynamics of a single LC oscillator can be visualized on a closed V –I trajectory due to the periodicity, where V is voltage across the capacitor and I is the current of the inductor as shown in Fig. 5(a). Assuming at time t, a noise current i n injects a charge i n dt to the capacitor during an infinitesimal time interval dt. The charge induces a voltage change d V = i n dt/C. Due to the amplitude feedback mechanism, the fluctuation in the radial is vanished after a certain time. However, the fluctuation in the direction along the limited cycle results in the phase perturbation d . Actually, the phase change d is strongly dependent on the noise injection point on the limited cycle. Point A is the least sensitive to the noise and point B is the most sensitive to the noise. The unit less periodic impulse sensitivity function (ISF) is used to characterize this phenomenon [14]. Then, the phase dynamics can be expressed as i n (t) d =
eff (t) dt qmax
(11)
where is effective ISF eff (t) for the white noise i n (t), and qmax is the maximum charge stored in the capacitor and is
(14)
where Vosc1 and Vosc2 are in-phase output of the CONs. Equation (14) shows the charge stored in system is doubled as shown in Fig. 5(b)–(d), while the white noise sources are also doubled due to two oscillators existed in the system. The noise powers are uncorrelated while the output signal of the coupled oscillators is correlated leading to an improved total SNR. The output swing of the V –I trajectory is doubled as shown in Fig. 5(d). As a result, the phase diffusion of the CONs can be expressed as 2i 2n 2 1
eff,rms . DCON = 2 CON f 4 qmax
(15)
After synchronization, the behavior of the in-phase coupled oscillators is the same as one single oscillator and the two coupled oscillators is simplified as a system oscillator whose diffusion function is Dsys =
2 i n2 eff,rms . 4(qmax )2 f 2
1
(16)
Compared to the diffusion constant of single oscillator, its rms of effective ISF is only half that means the system oscillator is less sensitive to the noise perturbation. The phase noise is reduced by 3 dB which is calculated as 10log(Dsys /D). Due to the large loss in link budget, the SRR has to process very weak signals and the noise added by the systems degrade to obscure these weak signals. The NF characterizes the ability of the receiver to process weak signals. The NF of the SRR, which is highly dependent on the phase noise. Based on the definition, the NF of SRR can be expressed as FCON =
CON SNRIN PIN NOUT PIN 1N osc 1 OUT = = = Fosc CON SNROUT NIN POUT NIN 2P osc 2 OUT
(17)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 5. (a) Dynamics of a single LC oscillators in a V –I trajectory. (b) Dynamics of coupled oscillator, the coupled V –I trajectories have in-phase vectors. (c) Phase noise reduction of the proposed coupled oscillators. (d) V –I trajectory of the proposed CONs.
Fig. 6.
NF with different phase errors and frequency shift of ZPS.
where PIN and NIN are the input signal power and noise power, CON and P CON are the output noise power and respectively. NOUT OUT osc and P osc are out noise power system signal power, NOUT OUT and signal power for single oscillator. Based on (16) and (17), compared to the NF of conventional SRR, the NF improvement is 3 dB, which is the same as the phase noise improvement. However, due to the mismatch of devices, the oscillator frequency of two oscillators and ZPS have frequency mismatch and the output phase of the two oscillator have phase error. When the frequency of input signal is far from the oscillation frequency, a frequency difference is induced to the system, the gain of the SRR is reduced. Thus, the NF is degraded.
The NF versus input frequency difference and phase error is shown in Fig. 6. With frequency calibration, above drawbacks can be overcome. B. Gain Improvement of the Coupled Oscillators Due to the low gain of transistors in the mm-wave frequency range, the gain of traditional amplifier is low, and the high-gain amplifier is very power hungry. The proposed SRR with the coupling network ZPS can overcome the low-gain limitation with low-power consumption. Furthermore, the proposed coupling network is feasible in mm-wave frequency and multiple
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MA et al.: 7.52-dB NF 128.75–132.25-GHz SRR
Fig. 7.
7
Output voltage of the SRR with different phase errors.
coupling oscillators. The design requirements of the ZPS are that its resonant frequency is the same as oscillators and its structure is symmetry. Its impedance is a small and no phase shift at the resonate frequency As a result, the in-phase coupling strength is stronger and other modes are suppressed. Though the ZPS has a limited BW and the self-oscillation frequencies of the VCOs are different, the two VCOs can still oscillate at stable mode and have in-phase outputs. The working range is defined as synchronized range. In the range, we further compare the gain function of the conventional SRR with that of the proposed SRR by the following equation: UC =
1 μn (t) 2 = e 2 ω0 βt . μ(t)
(18)
One can observe that the gain enhancement of the SRR is exponential with ω0 βt 2 . When a signal frequency around ω0 is injected into VCO-I, it is amplified and injected into VCO-II in phase. Then, it is further amplified by VCO-II and reinjected into VCO-I. Thus, a positive feedback loop is established when in-phase coupling is realized by the ZPS, thus a small input power can be detected due to the gain improvement. However, due to the mismatches of the self-oscillations, the output phase of the two oscillator have phase error. Based on (18), the gain variation versus phase error is shown in Fig. 7. With frequency calibration, the phase error can be minimized. C. Synchronization Range of the Proposed CONs Based on the previous discussion, the two VCOs can be synchronized as shown in Fig. 8 with a certain frequency range even when the phase shift of the ZPS is not zero and two self-oscillation frequencies are different. The synchronization range is calculated based on Van der Pol oscillator’s model and it is highly dependent on the coupling network such as the resistive network [17]. Comparison to this broadband resistive network, this paper uses a narrowband serial LC network and the Van der Pol oscillator’s model is not accurate enough. The work in [18] presents a method to analyze the coupled oscillators by narrowband network. It derives a set of nonlinear
Fig. 8. Transient output of the coupled oscillators during synchronization process.
differential equations that describes the oscillator amplitude and phase dynamics using a generalization of Kurokawa’s method. Ideally, free-running frequencies ωr1,2 of the two oscillators are the same as the resonant frequency ωn of the ZPS. However, the frequency ωr1,2 and ωn are varied due to the devices mismatches. The frequency variation relationships between these parameters and circuit components can be expressed as 1 (L 0 + L 1 )(C0 + C1 ) 1 ωr2 = √ (L 0 + L 2 )(C0 + C2 ) 1 ωn = √ (L n + L n )(Cn + Cn )
ωr1 = √
(19) (20) (21)
where L 1,2,n and C1,2,n are the inductor and capacitor variations. The two coupled oscillators are synchronized and have in-phase outputs as long as these variations in the synchronization range. The oscillator BWs are ωBW1 = G 0 /C where G 0 is the parallel transconductance of LC-tank and the C is the capacitor of LC-tank. The unloaded coupling circuit BW is ωBW2 = Rc /L n where Rc is the serial resistance of the ZPS and L n is the inductance part. The coupling constant
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 9. Synchronization range of the proposed coupled network in the plane of frequency mismatches. The two symmetry lines are equal free-running frequency and equally spaced free-running frequency.
is λ = 1/G 0 Rc . These six parameters determine the locking range of the two coupled oscillators. The frequencies variation can be expressed as ωr1 = ωr1 − ωo ωr2 = ωr2 − ωo ωn = ωn − ωo .
is 55 pH and the value of the C Z is 25 fF. Due to the small inductance value, inductors are realized by transmission line in top metal with thickness of 3.3 μm. The cross-fingered capacitor structure is used due to the higher capacitor density and lower loss. The inductors and capacitor are connected by via from metal nine to metal six. An electromagnetic (EM) simulation shows a zero-phase shift at 131.5 GHz with 0.4-dB insertion loss. The spiral inductors of the coupled VCOs are implemented with top metal layer. The radius of the inductor is 26 μm and the width is 10 μm. The simulated inductance is 66 pH and the quality factor is 20. General simulation method is simulating the S-parameters of each passive blocks individually and doing co-simulation with active devices in Cadence Environment. However, this simulation method is not accurate and has large differences with measuring results at mm-wave frequency range. Because the EM coupling effects among these blocks are neglected, the whole passive structure simulated in HFSS in this paper as shown in Fig. 10. The S-parameter of the passive structure is extracted with coupling effects and does co-simulation with active devices.
(22)
The coupling strength and output phases are both optimized when ωr1,2 is equal to ωn . The locking tendency is strongest and the outputs are in-phase [19]. When the difference is large enough, the system will go into unlocked state. Based on the strong coupling λ > 1/2 and narrowband network ωBW2 < 1/2ωBW1 conditions in this design, the synchronization area can be defined and two symmetry lines [20]. One line of equal free-running frequency ωr1 = ωr1 and the other is equally spaced free-running frequency 1/2(ωr1 + ωr2 ) = ωosc . The width and height of the locking region are expressed as 1 √ W = 1− √ λωBW1 (23) 2 √ 1 H = 2 λωBW1 1 − . (24) 4λ The region is wider along the line of equally spaced tunings as shown in Fig. 9. Then, the frequency can follow the coupling circuit BW and strong coupling is maintained over a wide range [21]. Simulation results show that the variations of passive devices are within ±25% and mismatches of active devices are within ±10%, the two VCOs can be synchronized. IV. C IRCUIT I MPLEMENTATION OF THE SRR The SRR with coupling network ZPS is implemented in a 65-nm CMOS process with nine-metal layers as shown in Fig. 10. The passive structure is simulated by Ansoft high-frequency structure simulator (HFSS) which extracts the S-parameter. Then, the S-parameter is imported into Cadence that does co-simulation with active devices. A. ZPS and Inductors Design The ZPS is implemented by a serial connection of two inductors L Z and one capacitor C Z [22], [23]. The value of L Z
B. Circuit Implementation of SRR The schematic of the proposed SRR is shown in Fig. 11. It consists of VCOs with LC-tank structure, one common source input buffer, and one output envelope detector. Compared to the previous designs utilized oscillators, the proposed method can realize reconfigurable BW to cover the process variation by using varactor. The varactor is implemented by the small size nMOS transistors (2 μm/100 nm). By tuning control voltages VCT1 and VCT2, the supply voltage, unbalance loading, and process variation are compensate to realize frequency calibration. The tunable frequency range of the two LC-tanks is 130–134 GHz when the tuning voltage is changed from 0 to 1.2 V based on the simulation. As a result, the coupled VCOs have enough frequency synchronized range even with large process variation happening. The cross-coupled transistor pairs (M1 and M2 ) are implemented to compensate the loss of the LC-tanks. The quench signal controls the tail current source transistor (M4 ). Note that M1 and M2 have an identical size of 60 nm length and 12 μm width, and M4 has a size of 60 nm length and 60 μm width. The quench signal is connected to the gate of transistor M4 . As a result, the tail current is period changed. The input of VCO-I is connected to a common source buffer (M6 ), of which the input is matched to 50 by L1 and L2 . The values of L1 and L2 are 50 and 38 pH. A dummy transistor (Mdummy ) is introduced to compensate parasitic capacitor unbalance. The output of VCO-II is connected to a differential envelope detector. V. M EASUREMENT R ESULTS The proposed SSR is fabricated in 65-nm CMOS process, and the die micrograph is shown in Fig. 12. The core area is 0.06 mm2 , and total area is 600 μm × 500 μm including input and output pads. The receiver is measured on a CASCADE Microtech Elite-300 probe station and Agilent
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MA et al.: 7.52-dB NF 128.75–132.25-GHz SRR
9
Fig. 10.
Passive structure of the proposed SRR with inductor simulation results and ZPS results.
Fig. 11.
Circuit diagram of the proposed SRR with two oscillators coupled by ZPS. The input matching is realized by inductor L1 and L2 [23].
PNA-X (N5247A) with frequency sweep up to 300 GHz. The input mm-wave signal is provided by microwave signal generator through GSG probe. The ESD circuits for dc pads protection are added.
The quench frequency determines the integration time of envelope detector. Low quench frequency contributes longer integration time, but it sacrifices the imaging speed, especially for fast large arry imaging system. A 12-MHz sinusoid quench
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
Fig. 12.
Fig. 13.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 14.
Synchronization working range of the proposed SRR.
Fig. 15.
Spectrum output of the coupled oscillators when VCT is 0.8 V.
Fig. 16.
Phase noise of single oscillator and coupled oscillators.
Die photograph of the proposed SRR.
Self-oscillation frequencies of the coupled VCOs.
signal is applied during testing due to fast imaging requirement. The signal is generated by a function generator with 0.6-V dc level and the peak-to-peak voltage swing is 500 mV for our proposed SRR. The receiver operates under 1-V power supply and total power consumption is 8.1 mW. The power consumption of input stage is 0.4 mW and the two VCOs consume the same power that is 3.8 mW. The envelope detector consumes 0.1-mW power. A. Synchronization Range Measurement The power pads of the two VCOs are separate as shown in Fig. 12, thus the two VCOs can work individually. Power down VCO-II, measure the self-oscillation frequency of the VCO-I. By tuning the control voltage of the VCO-I, the self-oscillation frequency is measured. With the same procedure, the self-oscillation is tested. The measurement results shows the self-oscillation frequencies are different due to asymmetry layout, unbalance loading of the VCOs, and processing variation as shown in Fig. 13. The frequency synchronization range is measured as follows. Power up the two VCOs and fine tuning the control voltage VCT (VCT1 = VCT2) in a range of 0–1.2 V. Fig. 14 shows the frequency synchronized range is over 3 GHz which shrinks 1 GHz compared to the simulation results. The output spectrum of the SRR is shown in Fig. 15 when the VCT of the two VCOs is 0.8 V. When the two VCOs are synchronized, the outputs are stable and phase noise
is lower 3 dB compared to the phase noise of a single oscillator as shown in Fig. 16. When the coupled VCOs lose the synchronization, the output frequency is unstable and phase noise is degraded. The frequency calibration can be utilized. The synchronization shrinkage comes from two reasons. First, the tuning range of the two oscillators is smaller than simulation due to the inaccurate model of the device. Second, the self-oscillation is shifted to a lower frequency, making the ZPS not work at the best range. B. Input Matching and Gain Measurement Fig. 17 shows the measured S-parameter for input matching. The measurement results shown the input matching is from 122 to 140 GHz with 18-GHz BW. The BW is around 541 MHz for a synchronized frequency when VCT is 0.6 V. The gain of the proposed SRR is measured and the maximum value is 42 dB at 131.61 GHz as shown in Fig. 18.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MA et al.: 7.52-dB NF 128.75–132.25-GHz SRR
Fig. 17.
Input matching of the proposed SRR with frequency.
Fig. 18.
Gain of the proposed SRR with frequency (VCT = 0.8 V).
11
Fig. 20. (a) NF measurement setup and (b) NF of the SRR. (c) NF measurement results under different control voltages.
Fig. 19.
Gain of the proposed SRR with different control voltages.
The BW of the proposed SRR is reconfigurable. The working principle is similar to the wideband VCO. After the two VCOs are synchronized, the gain of the proposed SRR is configured by tuning the control voltages. The BW of the SRR is 3.5 GHz, which is from 128.75 to 132.25 GHz as shown in Fig. 19. C. Noise Figure and NEP Measurement The NF measurement is standard procedure as shown in Fig. 20(a). The frequency of the noise source and variable attenuator are at interested frequency. The measured
NF is 7.52 dB at a room temperature when the VCT is 0.6 V. The oscillation frequency is tuned with different VCT and the BW of the SRR is reconfigurable. The noise figure model of the SRR is shown in Fig. 20(b). The gain of the SRR is different with frequency sweeping. By setting the control voltages with different values 0, 0.5, and 1.2 V, the input signals are swept from 129, 130.5, and 132 GHz. The NF is around 7.52–8.2 dB as shown in Fig. 20(c) which is 2-dB worse than simulation because the noise model of the transistor is not accurate. Noise-equivalent-power (NEP) is defined as the signal power in 1-Hz BW of unity signal-to-noise ratio and measured as 0.615 fW/(Hz)1/2 . The measured responsivity is shown in Fig. 21. As shown in Table I, the proposed receiver is compared to recently published mm-wave imaging SRR, which achieves widest BW, lowest NF and NEP. The power consumption is larger compared to conventional single quenchcontrolled oscillator due to two coupled VCOs utilized. The minimum detectable power is measured as follows. First, measure the maximum gain frequency, which is also the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE I P ERFORMANCES C OMPARISON W ITH mm-WAVE SRRs
shown in Fig. 22. With large input power, the detector is pushed into nonlinear region. VI. C ONCLUSION
Fig. 21.
Measurement responsivity and NEP over frequency.
A CMOS SRR is demonstrated based on ZPS-coupled VCOs for a portable imaging sensing system. Compared to traditional SRR designs, the receiver shows an improved sensitivity by 10 dB due to the gain improvement and NF reduction. The NF reduction is realized by the coupled oscillators and the gain is improved due to an additional positive feedback loop introduced between the two in-phase-coupled VCOs. The circuit is implemented in 65-nm CMOS with a core area of 0.06 mm2 . Measurements show that the receiver can detect −84-dBm minimum input power with 541-MHz integration BW. It has a NEP of 0.615 fW/(Hz)1/2 , a BW of 3.5 GHz, a NF of 7.52 dB, and a power consumption of 8.1 mW. The compact size with improved sensitivity is ideal for portable mm-wave imaging applications. R EFERENCES
Fig. 22.
Output voltage of the proposed SRR with a different input power.
self-oscillation frequency after synchronization. For example, the maximum gain frequency is 131.61 GHz when VCT is 0.6 V. Second, inject a input power with 131.61-GHz frequency into the SRR and measure the output voltage of the envelope detector. Third, decrease the input power until the detector has no response. The measured minimum detectable power of the receiver is −84 dBm when the frequency of quench signal is 12 MHz. The relationship between voltage output of the envelope detector and the input power is
[1] K. W. Tang, M. Khanpour, P. Garcia, C. Garnier, and S. P. Voinigescu, “65-nm CMOS, W-band receivers for imaging applications,” in Proc. IEEE CICC, Sep. 2007, pp. 749–752. [2] L. Gilreath, V. Jain, H.-C. Yao, L. Zheng, and P. Heydari, “A 94-GHz passive imaging receiver using a balanced LNA with embedded Dicke switch,” in IEEE RFIC Symp. Dig., Jun. 2010, pp. 79–82. [3] A. Tang, Z. Xu, Q. J. Gu, Y.-C. Wu, and M.-C. F. Chang, “A 144 GHz 2.5 mW multi-stage regenerative receiver for mm-wave imaging in 65 nm CMOS,” in IEEE RFIC Symp. Dig., Jun. 2011, pp. 1–4. [4] Q. J. Gu, Z. Xu, A. Tang, and M. Chang, “A D-band passive imager in 65 nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 5, pp. 263–265, May 2012. [5] A. Tang and M.-C. F. Chang, “183 GHz 13.5 mW/pixel CMOS regenerative receiver for mm-wave imaging applications,” in IEEE ISSCC Dig. Tech. Papers., Feb. 2011, pp. 296–298. [6] Y. Shang, H. Fu, H. Yu, and J. Ren, “A −78 dBm sensitivity superregenerative receiver at 96 GHz with quench-controlled metamaterial oscillator in 65 nm CMOS,” in IEEE RFIC Symp. Dig., Jun. 2013, pp. 447–450. [7] Y. Shang, H. Yu, D. Cai, J. Ren, and K. S. Yeo, “Design of high-Q millimeter-wave oscillator by differential transmission line loaded with metamaterial resonator in 65-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1892–1902, May 2013.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MA et al.: 7.52-dB NF 128.75–132.25-GHz SRR
[8] W. Fei, H. Yu, Y. Shang, D. Cai, and J. Ren, “A 96-GHz oscillator by high-Q differential transmission line loaded with complementary splitring resonator in 65-nm CMOS,” IEEE Trans. Circuits Syst., II, Exp. Briefs, vol. 60, no. 3, pp. 127–131, Mar. 2013. [9] Y. Shang, H. Yu, S. Hu, Y. Liang, X. Bi, and M. A. Arasu, “Highsensitivity CMOS super-regenerative receiver with quench-controlled high-Q metamaterial resonator for millimeter-wave imaging at 96 and 135 GHz,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3095–3106, Dec. 2014. [10] G. Feng, C. C. Boon, F. Meng, and X. Yi, “A 100-GHz 0.21-K NETD 0.9-mW/pixel charge-accumulation super-regenerative receiver in 65-nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 7, pp. 531–533, Jul. 2016. [11] J. L. Bohorquez, A. P. Chandrakasan, and J. L. Dawson, “Frequencydomain analysis of super-regenerative amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 2882–2894, Sep. 2009. [12] A. Demir, A. Mehrotra, and J. Roychowdhury, “Phase noise in oscillators: A unifying theory and numerical methods for characterization,” IEEE Trans. Circuits Syst. I. Fundam. Theory Appl., vol. 47, no. 5, pp. 655–674, May 2000. [13] B. Razavi, “A study of phase noise in CMOS oscillators,” IEEE J. SolidState Circuits, vol. 31, no. 3, pp. 331–343, Mar. 1996. [14] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [15] T. H. Lee and A. Hajimiri, “Oscillator phase noise: A tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326–336, Mar. 2000. [16] D. Ham and A. Hajimiri, “Virtual damping and Einstein relation in oscillators,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 407–418, Mar. 2003. [17] H.-C. Chang, X. Cao, U. K. Mishra, and R. A. York, “Phase noise in coupled oscillators: Theory and experiment,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 5, pp. 604–615, May 1997. [18] R. A. York, P. Liao, and J. J. Lynch, “Oscillator array dynamics with broadband N-port coupling networks,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 11, pp. 2040–2045, Nov. 1994. [19] R. A. York, “Nonlinear analysis of phase relationships in quasi-optical oscillator arrays,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 10, pp. 1799–1809, Oct. 1993. [20] A. Suarez, F. Ramirez, and S. Sancho, “Stability and noise analysis of coupled-oscillator systems,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 1032–1046, Apr. 2011. [21] R. J. Pogorzelski, “On the design of coupling networks for coupled oscillator arrays,” IEEE Trans. Antennas Propag., vol. 51, no. 4, pp. 794–801, Apr. 2003. [22] W. Fei, H. Yu, Y. Shang, and K. S. Yeo, “A 2-D distributed power combining by metamaterial-based zero phase shifter for 60-GHz power amplifier in 65-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 505–516, Jan. 2013. [23] S. Ma, H. Yu, Y. Shang, W. M. Lim, and J. Ren, “A 131.5 GHz, −84 dBm sensitivity super-regenerative receiver by zero-phase-shifter coupled oscillator network in 65 nm CMOS,” in Proc. IEEE ESSCIRC, Sep. 2014, pp. 187–190.
Shunli Ma (M’17) received the B.S. degree in microelectronics engineering from Shanghai Jiaotong University, Shanghai, China, in 2011, and the Ph.D. degree in micro-electronics engineering from Fudan University, Shanghai, in 2016. From 2012 to 2014, he was a Project Officer with Nanyang Technological University, Singapore. From 2016 to 2017, he worked within industry, where he designed 77-GHz FMCW PLLs for automotive radar sensors. He is currently with the State Key Laboratory of ASIC and Systems, Fudan University. He has authored or co-authored ten papers concerning high-performance millimeter-wave (mm-wave) circuits on top conferences including ESSCIRC, CICC, RFIC, ASSCC, and IMS. His current research interests include mm-wave integrated-circuit design including mm-wave imaging sensing, mmwave phase-locked loop and high-speed sampler in ADCs, and biomedical RF circuits for cancer detection. Dr. Ma was a recipient of the 2015 ISSCC Student Research Preview and the ISSCC STGA Award. His paper was a finalist of the IEEE MTT-S IMS in 2015. He was recognized as the Distinguished Designer for mm-wave PLL design for automotive radar.
13
Hao Yu (M’06–SM’14) received the B.S. degree from Fudan University, Shanghai, China, and the Ph.D. degree from the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA, USA. He was with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He is currently with the Southern University of Science and Technology, Shenzhen, China. His current research interests include CMOS emerging technology for data sensors, links, and accelerators. Dr. Yu was a recipient of the Best Paper Award from the ACM TODAES in 2010, the Best Paper Award nomination of DAC 2006, ICCAD2006, and ASP-DAC 2012, the Best Student Paper (advisor) Finalist of SiRF 2013, RFIC 2013, IMS2015, and the SRC Inventor Award’09. Since 2017, he has been a Distinguished Lecturer for the IEEE Circuits and Systems Society. He is an Associate Editor and a Technical Program Committee member for a number of journals (Nature Scientific Reports, the IEEE T RANSACTIONS ON B IOMEDICAL C IRCUITS AND S YSTEMS , ACM Transactions on Embedded Computing System, Elsevier Microelectronics Journal Integration, and the VLSI Journal) and conferences (DAC, CICC, ASSCC, DATE, ISLPED, etc.).
Qun Jane Gu (M’07–SM’15) received the Ph.D. degree from the University of California at Los Angeles (UCLA), Los Angeles, CA, USA, in 2007. She was a Senior Designer with the Wionics Realtek Research Group, Applied Micro Circuits Corporation, Sunnyvale, CA, USA, and a PostDoctoral Scholar with UCLA until 2010. From 2010 to 2012, she was an Assistant Professor with the University of Florida, Gainesville, FA, USA. Since 2012, she has been with the University of California at Davis, Davis, CA, USA. Her current research interests include high efficiency, low-power interconnect, millimeterwave and submillimeter-wave integrated circuits, system-on-a-chip design techniques, and integrated terahertz circuits and systems for communication, radar, and imaging. Dr. Gu was a recipient of the NSF CAREER Award, the 2015 COE Outstanding Junior Faculty Award, the Best Student Paper Award of the 2010 IEEE Asia–Pacific Microwave Conference (APMC), the Best Paper Award of the 2011 IEEE RF Integrated Circuit Technology Conference, Third Place of the 2012 IEEE MTT-S International Microwave Symposium (IMS) Student Paper Award, the Best Conference Paper Award of the 2014 IEEE Wireless and Microwave Technology Conference, the Best Student Paper Award of the 2015 IEEE APMC, Second Place of the 2016 IEEE IMS Student Paper, and the Best Student Paper Award of the 2016 IEEE RFIT.
Junyan Ren (M’01) received the B.S. degree in physics and M.S. degree in electronic engineering from Fudan University, Shanghai, China, in 1983 and 1986, respectively. Since 1986, he has been with the Micro-/NanoElectronics Innovation Platform, State Key Laboratory of ASIC and System, Fudan University. He is currently a Full Professor of microelectronics and the Vice Director of the State Key Laboratory of ASIC and System. He has authored or co-authored over 100 technical conference and journal papers. He has filed over 20 patents in China. His current research interests include RF/analog/mixed-signal ICs with communication applications.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
63.5–65.5-GHz Transmit/Receive Phased-Array Communication Link With 0.5–2 Gb/s at 100–800 m and ± 50° Scan Angles Bhaskara Rupakula , Graduate Student Member, IEEE, Ahmed Nafe, Member, IEEE, Samet Zihir, Member, IEEE, Yaochen Wang, Student Member, IEEE, Tsu-Wei Lin, Member, IEEE, and Gabriel Rebeiz, Fellow, IEEE Abstract— This paper presents a 32-element phased array centered at 64 GHz using multiple SiGe chips on a single printed-circuit board. The antenna element is a series-fed patch array, which provides directivity in the elevation plane. The transmit array results in an effective isotropic radiated power of 42 ± 2 dBm at 63–65.5 GHz, while the receive array provides an electronic gain of 24 dB and a system noise figure 14 dBi at 64.5–65.5 GHz. Note that the measured broadside gain drops to 6 dBi at 63 GHz due to the pattern scan versus frequency in the elevation plane as shown in Fig. 4(d). At 63 and 67 GHz, the pattern shifts by ±4° which contributes to a 1.5–2-dB drop in the broadside gain. In general, such an antenna can sustain an instantaneous bandwidth of 2 GHz (64–66 GHz) with high antenna gain and no noticeable elevation scan.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 6.
Array calibration and measurement setup.
Fig. 7.
Phased-array calibration algorithm.
Fig. 8. (a) Phase-shifter normalized gain variation versus phase state. (b) VGA normalized phase versus gain state (16 different channels shown).
The simulated mutual coupling between the two neighboring eight-element series-fed antennas is < −24 dB at 63–67 GHz for a spacing of 0.54λ, which allows for wide scan angles without grating lobes. The active S-parameters versus scan angle were simulated in HFSS using the master/slave boundary conditions [Fig. 5b]. The antenna can scan to 50◦ while maintaining S11 < −9 dB at 63–65.5 GHz. Finally, the 32-element phased array shown in Fig. 1(a) results in an total antenna aperture of A = 2.7 × 9.2 cm2 . The ohmic loss (antenna efficiency) of the eight-element series-fed antenna is −1.5 dB and the aperture efficiency due to the taper in patch widths is approximately −0.7 dB. Therefore, such an
Fig. 9. (a) TX channels before (left) and after (right) calibration. (b) RX channels before (left) and after (right) calibration. (c) Residual errors in Rx mode for 30° and 50° scan angles. All figures are at 64.5 GHz.
aperture results in a uniform-illumination gain of G=
4π A − (1.5 + 0.7) dB = 29.4 dBi. λ2
(1)
One can also calculate the aperture gain as 10 log(32)+ G el = 29.6 dBi with G el = 14.5 dBi.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RUPAKULA et al.: 63.5–65.5-GHz TRANSMIT/RECEIVE PHASED-ARRAY COMMUNICATION LINK
Fig. 10.
5
Measured array patterns in (a) transmit and (b) receive mode in the H -plane at 64.5 GHz compared to simulated and ideal patterns.
IV. P HASED A RRAY C ALIBRATION AND M EASURED PATTERNS The 64-GHz phased array requires calibration due to the unequal electrical lengths and loss from the common coaxial port to each antenna port. Referring to Fig. 1(a), the electrical lengths and loss difference between elements 1, 4, 13, 16, 17, and so on and elements 6, 7, 10, 11, and so on can be as large as 60◦ and 2.5 dB, respectively (element 1 is the leftmost antenna, and elements 16 and 17 are the centermost antennas). Note that elements 1, 4, and so on have the longest inter-chip connection and also the longest feed line between the beamformer chip and the antennas, and elements 6, 7, and so on have the shortest equivalent distances. This difference is near-periodic and can create substantial errors on the aperture. Also, due to assembly imperfections, the Wilkinson combiner on the PCB may not be ideal and may create additional imbalance. The different feed lines also pass by two driver chips with different SiGe channels, and while they are supposed to be identical, there are variations between the chips which need to be calibrated. Finally, in this first-generation chip design, the phase shifter resulted in an rms gain error of 1.5 dB and a peak gain error of ±2.5 dB as the phase was changed over 0°–355° (5-bits). The VGA phase also changed 10° for 9 dB of gain control, which adds to calibration challenges. The calibration and pattern measurement setup is shown in Fig. 6 and was done in the far-field
(Rff = (2D 2 /λ) = 3.75 m). The calibration algorithm is shown in Fig. 7. The calibration was done in two steps. First, all the phase shifters were set at 0◦ and the VGAs set at max gain −3 dB, and the channels were turned ON one-byone (corresponding to 32 different antennas) in the transmit and receive modes. Then, the S21 between the phased-array common port and a horn antenna was measured for every channel with the cables calibrated out. This measurement results in the transmission line differences (error) between the coaxial port and different elements and also in any gain and phase variations between the channels in the beamformer and driver chips. The phase shifter and VGA for each channel are then characterized in the far-field. To characterize the phase shifter, the VGA is set to the nominal state (max gain −3 dB) and the phase state is varied over the entire 5-bit range while the S21 to the horn port is collected. To characterize the VGA, the phase shifter is set to 0◦ and the gain state is varied over the VGA range, while the S21 to the horn port is measured. These variations of phase-shifter insertion loss and VGA insertion-phase are shown in Fig. 8(a) and (b). In the next step, the channel phase shifter and VGA are used to calibrate out the amplitude and phase errors. However, as mentioned earlier, the phase shifter itself introduces a gain error of ±2.5 dB over its control range, and this, in turn, must also be compensated by the VGA. But the VGA also introduces some phase error itself and this needs to be compensated by the phase shifter. One can, therefore, enter an infinite control loop if not careful. A MATLAB program was
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 12. Measured pattern squint versus frequency at 63.5–65.5 GHz as the beam is scanned (receive mode shown). Calibration is done at 64.5 GHz.
the standard equation φn = φncal + nkd sin(θ0 )
Fig. 11. (a) RX mode at 64.5 GHz with staircase taper. (b) Measured tapered patterns in RX mode scanned to −50° and −30°. Similar patterns were obtained at +30° and +50° and in the transmit mode at all scan angles.
written to result in the best compromise between phase and gain control, following the algorithm as shown in Fig. 7. The gain and phase errors before calibration at 64.5 GHz over the 32-element array are −200° to +200° and −4.5 to +4.5 dB, respectively [left side of Fig. 9(a) and (b)]. Note that there is a certain periodicity for every four elements as seen in the phase error between the antennas, as discussed earlier. A final calibration is achieved with a residual phase error of ±10°, and a residual gain error of ±1–±1.5 dB in the Rx and Tx modes, respectively [right side of Fig. 9(a) and (b)]. Note that 8–9 dB of the VGA control range was used for calibration; therefore, some VGAs are operating in their maximum attenuation state. The measured patterns at broadside in the Tx and Rx modes after calibration are shown in Fig. 10(a) and (b) and agree well with the patterns simulated using A(θ ) =
N
a(n)e− j nkd sin(θ)
(2)
n=1
where N = 32 is the number of elements and a(n) is the excitations on the right side in Fig. 9(a) and (b). Fig. 10 also shows the ideal pattern in the absence of phase or gain errors. It can be seen that the residual errors do not cause a significant deviation from the ideal pattern. The beamwidth at normal incidence is 2.89° and agrees well with simulations. The same method is used when scanning to an angle θo . In this case, the phase across the aperture is set according to
(3)
where φncal is the calibration phase required for a scan angle of 0°. The phase shifter gain variation and the VGA phase variation are then adjusted according to the algorithm described earlier. Fig. 9 presents the 32-element aperture amplitude and phase residual error distribution at different scan angles, and it can be seen that a ±10° and ±1 dB error is maintained across the aperture. The measured patterns at 30° and 50° scans in the Tx and Rx modes are also shown in Fig. 10(a) and (b), together with a comparison based on residual errors and an ideal uniform distribution. Deviations from the simulated pattern are presumed to be the result of chamber nonidealities. Note that the measured 3-dB beamwidth increases to 3.3° and 4.3° at 30° and 50° scan angles, and agrees well with the standard phased-array equation θ3dB = 0.886
λ L cos(θ0 )
(4)
where L is the length of the phased array in the azimuth plane. Since the entire VGA range in the beamformer chips is used for calibration, a taper can only be applied for every four channels using the driver chips. In this case, the line amplifiers in the beamformer chip and the channel amplifier in the driver chip are used to create a staircase taper with levels of 0, −4, −10, and −17 dB every four elements, as shown in Fig. 11(a). The measured pattern at broadside shows a first sidelobe level of −25 dB, as seen in Fig. 11(a). In addition, a −25-dB discretization sidelobe exists at 25–30° due to the step attenuation function used. The faraway sidelobes of −22 dB are presumed the result of residual amplitude and phase errors on the aperture and chamber nonidealities. Such a pattern can be scanned to ±50° with a peak sidelobe level of −20 dB and an average sidelobe level of −25 dB [Fig. 11(b)]. The calibration is valid at 63–65 GHz at both the pattern and system levels (see Appendix for additional patterns). However, as expected, the measured patterns show a slight beam-squint versus frequency at 50° scan angle (Fig. 12). If the beam is scanned to an angle θ f0 at the design frequency f o , the beam
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RUPAKULA et al.: 63.5–65.5-GHz TRANSMIT/RECEIVE PHASED-ARRAY COMMUNICATION LINK
7
Fig. 13. (a) Measured phased-array EIRP versus frequency at 0° scan. (b) EIRP versus input power at the common port (broadside direction). (c) Block diagram for EIRP calculation. Note that the 1-dB compression occurs at −27 dBm when the VGA gains are set to maximum.
Fig. 14.
Measured EIRPsat (in dBm) versus frequency and scan angle.
angle θ f at frequency f can be calculated as sin(θ f ) =
f0 sin(θ f 0 ). f
(5)
Using the above-mentioned formula, the simulated beam squint is 1.03° per GHz at 50° scan and agrees well with the measured beam squint of 0.96° per GHz (Fig. 12). V. P HASED A RRAY S YSTEM -L EVEL M EASUREMENTS A. EIRP and Transmit Electronic Gain The measured EIRP is shown in Fig. 13(a) and is 42±2 dBm at Psat at 63–65 GHz for four different arrays. The EIRP is obtained in the far-field using the Friis equation λ 2 (6) Pr = Pt G t G r 4π R where Pr and G r are the power received and gain of the horn antenna, and Pt G t is the EIRP of the phased array in the transmit mode. The expected EIRP can be calculated as shown in Fig. 13(c) using the channel output Psat (0 dBm) and the average
Fig. 15. (a) Losses due to different transmission lines on PCB. (b) Average TX-mode path. (c) Average RX-mode path.
transmission-line loss (1 dB) between the beamformer chip and the antennas Pt = 0 dBm + 10 log10 (32) − 1 dB G t = 29.6 dBi EIRPexpected = Pt G t = 43.6 dBm
(7) (8) (9)
and agrees well with measurements. Fig. 14 presents the EIRP contour plot versus frequency and scan angle. The EIRP drops versus scan angle as expected and is 41–42 dBm at 45° scan. It is seen that an EIRPsat > 39–40 dBm is maintained at 63.5–65.5 GHz and over ±50° scan angles. The transmit electronic gain can be defined as G TX array =
EIRP Pin
(10)
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE II L INK B UDGET FOR 100-, 300-, AND 800-m D ISTANCE . N OISE P OWER FOR 100- AND 300-m L INK BASED ON 0.5-GHz BANDWIDTH AND 800-m L INK BASED ON 0.125-GHz BANDWIDTH
Fig. 16. (a) Definition of RX gain. (b) Measured phased-array gain versus frequency at 0° scan (4 different samples).
labeled in Fig. 15(a). Knowing that each chip has a maximum transmit gain of 20 dB at 64–65 GHz and the VGAs in the lineup have an average gain setting of −4 dB (for calibration), the simulated G TX chn is G TX ch = −8.5 + 20 − 2.5 + 20 − 1 − 4 = 24 dB.
(12)
An input power of −27 dBm is required at the coaxial port to result in 1-dB compression, and knowing that the OP1dB = −2 dBm per channel, this results in a measured G TX ch of 25 dB at 1-dB compression (and 26 dB at small signal), which agrees well with simulations. The input power may actually be too low for some transceivers and can be increased by 10–15 dB by reducing the gain of the driver chips. B. Receive Electronic Gain and System NF The receive gain can be defined as
Fig. 17.
G RX array =
Pout in Parray
(13)
G RX ch =
Pout in Pch
(14)
Communication-link system-level block diagram.
or or G TX ch =
out Pch
Pin
.
(11)
The G TX array includes the antenna gain and the total radiated power, while the G TX ch is an equivalent conductive gain between the antenna port and the input coaxial common port (as if the series-fed microtrip antenna is removed and a miniature coax port is used in its place). The G TX chn is simulated using the block diagram in Fig. 15(b), where the transmission line losses between the driver and feed chips and between the feed chips and antennas are the average of the losses
where Pout is the power at the phased-array common (coaxial) port. The G RX array includes the power collected by the antenna aperture while the G RX ch is a conductive gain between the antenna port and the array common-port. Different than the G TX definitions, the two G RX definitions are nearly the same since the G RX array includes all the channels, but these add together at the common port (N times more input power and N times more output power). The only difference is the antenna ohmic loss of 1.5 dB, which is included in G RX array , but not . in G RX ch
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RUPAKULA et al.: 63.5–65.5-GHz TRANSMIT/RECEIVE PHASED-ARRAY COMMUNICATION LINK
9
Fig. 19. Photographs of the communication link. (a) Line of sight at 300 m. (b) Distance on the map for the 300-m link. (c) TX setup for the 800-m link. (d) Line of sight at 800 m.
Fig. 18. Measured constellations and EVM in the (a) 100-m link, (b) 300-m link, and (c) 800-m link.
The G RX ch is simulated using the block diagram in Fig. 15(c), with the transmission-line losses obtained from Fig. 15(a). Knowing that each chip has a receive gain of 19 dB at 64–65 GHz and the VGAs in the lineup have an average gain setting of −4 dB (for calibration), the simulated G RX ch is G RX ch
= −1 − 4 + 19 − 2.5 + 19 − 5.5 dB = 25 dB (15)
and RX G RX array = G ch − 1.5 = 23.5 dB.
(16)
Note that in G RX ch simulations, only the Wilkinson ohmic loss is considered (not the additional 3-dB loss per stage if one side of the Wilkinson is activated), because in real operation, all the arrays are activated and all the Wilkinson ports are energized. The array receive electronic gain, G RX array , is measured by using a calibrated plane-wave density Sinc (Sinc = Pt G t /4π R 2 ) incident on the array and measuring the output power at the common (coaxial) RF port (Fig. 16(a) and (b) for four different samples). The results agree well with simulations. The system NF is calculated using the same block diagram as shown in Fig. 15(c) (referenced to the antenna port) and assuming a transceiver NF of 8–15 dB. The simulated system NF is 7.7–7.6 dB and is only 0.2 dB higher than the minimum achievable NF of 7.5 dB (6.5 dB for the chip +1 dB for the chip to antenna loss) due to the high gain of the beamformer and driver chips. The system NF is very difficult to measure, since it is hard to setup a G/T measurement system at 64 GHz. VI. C OMMUNICATION L INK S IMULATIONS AND M EASUREMENTS A two-way Tx/Rx communication link was demonstrated at distances of 100, 300, and 800 m and is based on the system configuration shown in Fig. 17. The Tx array requires a dc
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
TABLE III
TABLE IV
C OMPARISON TO P REVIOUS 60-GHz P HASED A RRAYS
FOM C OMPARED TO P REVIOUS 60-GHz P HASED A RRAYS
power of 5 W, while the Rx array requires a power of 4 W [does not include mixers, local oscillator (LO), IF amplifiers, and modem]. The measurements are done at 64 GHz (rather than 64.5 GHz) due to limitations in the downconversion chain. A Keysight M8195 arbitrary waveform generator is used to generate modulated random data (QPSK and 16-QAM) centered at an IF of 6 GHz. This is upconverted to a center frequency of 64 GHz using a double-balanced mixer and a Keysight E8257D signal generator as the LO (58 GHz). The upconverted image is at 52 GHz and is filtered by the driver and beamformer chips and by the phased-array eightelement series-fed microstrip antenna. The overall phasedarray response (EIRP/Pin) at 52 GHz is 45 dB below that at 64 GHz, resulting in 45-dB image suppression in both the Tx and Rx modes. The output of the receive phased array is also downconverted to an IF of 6 GHz, amplified and fed to a Keysight DSO804A digital oscilloscope for demodulation, EVM and SNR calculations, using the Keysight VSA89600 software. No forward error correction, equalization, or digital predistortion (DPD) was applied during the link measurement. Table II presents the simulated link budget for 100, 300, and 800 m at a transmit power corresponding to 5-dB backoff from P1dB and a transmit EIRP of 35 dBm. The atmospheric attenuation was obtained from [21] and is 12.5 dB/km at 64 GHz on a clear day. A misalignment loss of 3 dB is assumed for the 100- and 300-m link, since a pointing error of 1◦ on TX or RX leads to a loss of 1.5 dB due to the narrow beamwidth in the azimuth (the antenna pattern was moved in 1°–2° steps). Also, there is a 1-dB averaging error in the vertical beam (elevation) for wide bandwidths since the beam slightly scans versus frequency, resulting in a total misalignment loss of around 4 dB. The NF was estimated to be 1.5 dB higher in the 100- and 300-m link, since the receive array was not cooled as efficiently as during lab measurements (operating temperature was estimated to be 85°–90°). A rootraised cosine pulse shape with α = 0.35 was used on transmit and receive, which results in the average power 4 dB less than the peak for QPSK and 6.6 dB less than the peak for 16-QAM. The transmitter EIRP was adjusted to result in lowest EVM
Fig. 20. Co- and cross-polarization receive-mode patterns at 64.5 GHz in (a) elevation (E-plane) and (b) azimuth (H -plane).
and corresponds to −5-dB average-power backoff from P1dB (no DPD was used). In addition to SNR, the EVM is also degraded by the LO phase noise from the external sources. The integrated LO phase noise from 1 kHz to 500 MHz offset from the carrier creates an rms phase error in the LO signal. The relation between this rms phase error and the EVM for QPSK and QAM modulations is discussed in [22]. From the E8257D datasheet, the integrated rms phase error was calculated as 1.7◦, which results in EVM degradation of around 3.12% on both the transmit and receive paths, for a total of 4.4%. In addition, the arbitrary waveform generator contributes a 1% EVM on the transmit side. The total expected EVM in the 100- and 300-m links, including the EVM due to SNR, is 6% and 15.3%, respectively (Table II). The measured EVM is referenced to the average constellation power to compare directly to the simulated EVM in Table II. |en |2 (17) EVM = Pav where en is the error vector of the nth received symbol from its closest constellation point and Pav is the average constellation power. For the 100-m link, the measured EVM for a 1–2 Gb/s 16-QAM waveform is 9.4%–13.5% [Fig. 18(a)], while for the 300-m link, the measured EVM for a 2-Gb/s QPSK waveform is 21% [Fig. 18(b)]. The difference between the simulations and measurements is due to the lack of equalization over the 1-GHz bandwidth (this feature was not turned ON in
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RUPAKULA et al.: 63.5–65.5-GHz TRANSMIT/RECEIVE PHASED-ARRAY COMMUNICATION LINK
11
Fig. 21. Measured phased-array patterns in the receive mode in the azimuth (H -plane) at 63.5, 64.5, and 65.5 GHz. Calibration is done at 64.5 GHz. Measurements from −10° to −50° are very similar and are not shown.
the software). Under the 802.11ad standard, the single-carrier transmit EVM requirement (with equalization) for an MCS6 QPSK waveform is 28.2%, and for an MCS-10 16-QAM waveform is 11.2% [23]. Therefore, the 100- and 300-m links are close to the 802.11ad EVM specifications. Thus, at 300 m, it can be seen that 0.5–2 Gb/s can be achieved using QPSK modulation. A relatively low EVM and high SNR is also achieved over all scan angles at 1-Gb/s data rate. In addition, the degradation with scan angle does not exceed 5%. At 800 m distance, the EVM is dominated by SNR. In the case of 250 Mb/s QPSK, the simulated SNR of 12.2 dB shown in Table II results in an EVM of 24.4%, which agrees well with the measurements shown in Fig. 18(c) and is close to the 802.11ad single-carrier transmit EVM requirement. In the 100–300 m measurements, the fan cooling for the receive array was placed a bit far from the chips and was not as effective because of weak airflow, which lead to a higher NF. In the 800 m measurements, the air flow was made much stronger; therefore, a NF of 7.7 dB and a misalignment loss of 1 dB are assumed in Table II. A comparison to previously demonstrated 60-GHz phasedarray wireless links is shown in Table III. The outdoor test setup and distance on a map are shown in Fig. 19 for the 300- and 800-m link. A figure of merit (FOM) for a phased-array communication link can defined as FOM =
π Data Rate (Gbps) ∗ R 2 × Pdc Del
(18)
where Pdc is the total power consumption for two arrays (one in TX + one in RX mode) in W, R is the distance (m), Del is the phased-array element directivity, and the peak data rate is used. The π in the numerator is the directivity of an element occupying a 0.5λ × 0.5λ unit cell (D = (4π/λ2 ) ∗ 0.5λ ∗ 0.5λ = π). The π/Del term is used to penalize 1-D arrays with large element directivity, or 2-D arrays with wider spacing and fewer elements. Also, directivity is used since this is the best possible antenna gain which can be achieved, and any antenna loss should be penalized. In this paper, the directivity of a single series-fed antenna is 15.6 dBi, or 36.3. For [6], [7], and [11], the element area was assumed 0.5λ × 0.5λ, resulting in a directivity of 3.14. A higher FOM corresponds to higher performance. It is seen that this paper achieves the largest FOM due to the aperture size and communication distance (Table IV). VII. C ONCLUSION This paper demonstrates phased-array SiGe Gb/s links over several hundred meters using the low-cost phased arrays fabricated on commercial PCBs. A transmit EIRP of 35 dBm is used sufficiently to establish a 2-Gb/s link over 300 m due to the high-performance SiGe transmit/receive chip and the efficient antennas. The power consumption of transmit and receive phased arrays together is 9 W. The phased-array antenna gain could be made 2 dB higher using a 16 series-fed patch array with a 6.6° elevation beamwidth (instead of 12°), if less coverage in the elevation plane is acceptable. Also, 64-element and even 128-element phased arrays can be built for increased data rates or larger link distances. However, the beamwidth in azimuth will be 1.5° and 0.75° in these
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 22. Measured phased-array patterns in the transmit mode in the azimuth (H -plane) at 63.5, 64.5, and 65.5 GHz. Calibration is done at 64.5 GHz. Measurements from −10°° to −50° are very similar and are not shown.
designs and a feedback loop will be required to keep the beam always aligned between the two systems. A PPENDIX This appendix presents the measured co- and crosspolarization patterns of the phased array (Fig. 20) and also the measured transmit and receive patterns over frequency at 0°–50° (Figs. 21 and 22). It is provided for completeness. R EFERENCES [1] T. S. Rappaport, J. N. Murdock, and F. Gutierrez, Jr., “State of the art in 60-GHz integrated circuits and systems for wireless communications,” Proc. IEEE, vol. 99, no. 8, pp. 1390–1436, Aug. 2011. [2] S. Zihir and G. M. Rebeiz, “A 60 GHz 64-element phased-array beampointing communication system for 5G 100 meter links up to 2 Gbps,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–3. [3] S. Zihir, O. D. Gurbuz, A. Kar-Roy, S. Raman, and G. M. Rebeiz, “60-GHz 64- and 256-elements wafer-scale phased-array transmitters using full-reticle and subreticle stitching techniques,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4701–4719, Dec. 2016. [4] E. Cohen, M. Ruberto, M. Cohen, O. Degani, S. Ravid, and D. Ritter, “A CMOS bidirectional 32-element phased-array transceiver at 60 GHz with LTCC antenna,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1359–1375, Mar. 2013. [5] J.-L. Kuo et al., “60-GHz four-element phased-array transmit/receive system-in-package using phase compensation techniques in 65-nm flipchip CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 743–756, Mar. 2012. [6] A. Natarajan et al., “A fully-integrated 16-element phased-array receiver in SiGe BiCMOS for 60-GHz communications,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1059–1075, May 2011. [7] A. Valdes-Garcia et al., “A fully integrated 16-element phased-array transmitter in SiGe BiCMOS for 60-GHz communications,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2757–2773, Dec. 2010.
[8] X. Gu et al., “Enhanced multilayer organic packages with embedded phased-array antennas for 60-GHz wireless communications,” in Proc. IEEE 63rd Electron. Compon. Technol. Conf., May 2013, pp. 1650–1655. [9] S. Brebels et al., “60-GHz CMOS TX/RX chipset on organic packages with integrated phased-array antennas,” in Proc. 10th Eur. Conf. Antennas Propag. (EuCAP), Apr. 2016, pp. 1–5. [10] S. Emami et al., “A 60 GHz CMOS phased-array transceiver pair for multi-Gb/s wireless communications,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2011, pp. 164–166. [11] M. Boers et al., “A 16TX/16RX 60 GHz 802.11ad chipset with single coaxial interface and polarization diversity,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 3031–3045, Dec. 2014. [12] B. Rupakula, A. Nafe, S. Zihir, T.-W. Lin, and G. M. Rebeiz, “A 64 GHz 2 Gbps transmit/receive phased-array communication link in SiGe with 300 meter coverage,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1599–1601. [13] W. T. Khan, A. L. V. Lopez, A. C. Ulusoy, and J. Papapolymerou, “Packaging a W -band integrated module with an optimized flip-chip interconnect on an organic substrate,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 64–72, Jan. 2014. [14] B.-H. Ku et al., “A 77–81-GHz 16-element phased-array receiver with ±50° beam scanning for advanced automotive radars,” IEEE Trans. Micrwave Theory Techn., vol. 62, no. 11, pp. 2823–2832, Nov. 2014. [15] J. Hasch, E. Topak, R. Schnabel, T. Zwick, R. Weigel, and C. Waldschmidt, “Millimeter-wave technology for automotive radar sensors in the 77 GHz frequency band,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 845–860, Mar. 2012. [16] K. Kibaroglu, M. Sayginer, and G. M. Rebeiz, “A quad-core 28–32 GHz transmit/receive 5G phased-array IC with flip-chip packaging in SiGe BiCMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1892–1894. [17] S. Zihir and G. M. Rebeiz, “A wideband 60 GHz LNA with 3.3 dB minimum noise figure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1969–1971. [18] H.-C. Kuo and H.-R. Chuang, “A 60-GHz high-gain, low-power, 3.7-dB noise-figure low-noise amplifier in 90-nm CMOS” in Proc. Eur. Microw. Conf. (EuMC), Oct. 2013, pp. 1555–1558.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. RUPAKULA et al.: 63.5–65.5-GHz TRANSMIT/RECEIVE PHASED-ARRAY COMMUNICATION LINK
[19] J.-O. Plouchart et al., “A 18 mW, 3.3 dB NF, 60 GHz LNA in 32 nm SOI CMOS technology with autonomic NF calibration,” in Proc. Radio Freq. Integr. Circuits (RFIC) Symp., May 2015, pp. 319–322. [20] J. R. James, P. S. Hall, and C. Wood, Microstrip Antenna Theory and Design. London, U.K.: IEE, 1981. [21] Millimeter Wave Propagation: Spectrum Management Implications, document Bull. 70, Federal Communications Commission Office of Engineering and Technology, Jul. 1997. [22] A. Georgiadis and C. Kalialakis, “Evaluation of error vector magnitude due to combined IQ imbalances and phase noise,” IET Circuits, Devices Syst., vol. 8, no. 6, pp. 421–426, 2014. [23] (Dec. 28, 2012). IEEE Wireless LAN Medium Access Control and Physical Layer Specifications, Amendment 3. [Online]. Available: http://exocomm.com/library/802.11/802.11ad-2012.pdf
Bhaskara Rupakula (S’10–GS’15) received the B.S. and M.S. degrees in electrical engineering from the University of California at San Diego, La Jolla, CA, USA, in 2014 and 2016, respectively, where he is currently pursuing the Ph.D. degree. He was an Intern with Qualcomm Research, in 2017, where he was involved in millimeter-wave antenna design for 5G systems. His current research interests include millimeter-wave antennas and circuits for phased arrays and wireless communication systems.
Ahmed Nafe (M’13) was born in Cairo, Egypt, in 1991. He received the B.Sc. degree (Hons.) in electrical engineering from the German University in Cairo (GUC), Cairo, in 2012, and the M.Sc. degree in electrical engineering from the King Abdullah University of Science and Technology (KAUST), Thuwal, Saudi Arabia, in 2014. He is currently pursuing the Ph.D. degree in electrical and computer engineering at the University of California at San Diego, La Jolla, CA, USA. His B.Sc. thesis concerned the design and characterization of millimeterwave antenna arrays for automotive radars with Ulm University, Ulm, Germany. He was a DAAD-Sponsored Exchange Student with Ulm University, in 2011. In 2011, he joined the Mixed Signal CMOS Circuits Group, RWTH Aachen University, Aachen, Germany, as a Research Assistant, where he was involved in power amplifier linearization for WCDMA and LTE signals. His current research interests include analysis, design, and calibration techniques of mm-wave phased antenna arrays. Mr. Nafe was a recipient of the GUC Scholarship for Engineering Study in 2008, the KAUST Graduate Student Fellowship in 2012, and the University of California San Diego Graduate Student Fellowship in 2015.
Samet Zihir (S’06–M’15) received the B.Sc. and M.S. degrees in electronics engineering from Sabanci University, Istanbul, Turkey, in 2009 and 2011, respectively, and the Ph.D. degree in electrical engineering from the University of California at San Diego (UCSD), La Jolla, CA, USA, in 2015. From 2015 to 2017, he was a Post-Doctoral Scholar with the Telecommunications and Integrated Antennas, Circuits and Systems Lab, UCSD, where he focused on mm-wave phased array circuits and systems for SATCOM and 5G applications. He is currently a Principal RF Engineer with Integrated Device Technology (IDT), San Diego, CA, USA. His current research interests include RF and millimeter-wave integrated circuits and antennas in silicon technologies for phased-array systems and high data-rate wireless communications. Dr. Zihir was a recipient of the Analog Devices Outstanding Student Designer Award in 2015, the Best Student Paper of SIRF in 2010, and the IEEE Microwave Theory and Techniques Society Undergraduate/Pre-Graduate Scholarship Award in 2009.
13
Yaochen Wang (S’14) received the B.S. degree in electronic engineering from the City University of Hong Kong, Hong Kong, in 2015. He is currently pursuing the Ph.D. degree in electrical and computer engineering at the University of California at San Diego, La Jolla, CA, USA. His current research interests include RF circuits, millimeter-wave 5G systems, and phased arrays.
Tsu-Wei Lin (GS’10–M’10) received the Ph.D. degree from the Institute of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, in 2014. In 2013, he held an internship with Bell Labs, NJ, USA. From 2015 to 2017, he was a Post-Doctoral Fellow with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA, USA. He is currently the Senior Electrical Engineer with Skyworks Solutions, Inc., Woburn, MA, USA. His current research interests include tunable filter and RF module design.
Gabriel Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena, CA, USA. From 1988 to 2004, he was with the University of Michigan, Ann Arbor, MI, USA. He is a member of the National Academy, and a Distinguished Professor and the Wireless Communications Industry Chair Professor of Electrical and Computer Engineering with the University of California at San Diego, La Jolla, CA, USA. His group has optimized the dielectric-lens antenna, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed 6-18-, 30-35-, 40–50-, 77–86-, and 90–110-GHz 8- and 16-element phased arrays on a single silicon chip, the first silicon phased-array chip with built-in self-test capabilities, the first wafer-scale phased arrays with on-chip antennas, and the first SiGe millimeter-wave silicon passive imager chip at 85–105-GHz. His group also demonstrated the high-performance RF MEMS tunable filters at 0.7–6 GHz, RF MEMS phase shifters at 1–100 GHz, and high-power high-reliability RF MEMS metal-contact switches. As a Consultant, he helped develop 24- and 77-GHz single-chip SiGe automotive radars, phased arrays operating at X- to W-band for defense and commercial applications (SATCOM, automotive, and point-to-point), digital beamforming systems, and several industrial RF MEMS switches. He has graduated 64 Ph.D. students and 21 post-doctoral fellows. He has authored or co-authored over 650 IEEE publications and authored RF MEMS: Theory, Design, and Technology (Wiley, 2003). He currently leads a group of 18 Ph.D. students and post-doctoral fellows in the area of millimeterwave RFICs, tunable microwave circuits, RF MEMS, planar millimeter-wave antennas, and terahertz systems. Dr. Rebeiz was a recipient of the IEEE MTT-S 2000 and 2014 Microwave Prize, the IEEE MTT-S 2010 Distinguished Educator Award, the IEEE AP-S 2011 John D. Kraus Antenna Award, the 2012 Intel Semiconductor Technology Council Outstanding Researcher in Microsystems, a Research and Development 100 2014 Award for his work on phased-array automotive radars, the 2014 IEEE Daniel E. Noble Field Medal for his work on RF MEMS, and the IEEE AP-S 2015 Harold A. Wheeler Applications Prize Paper Award. He was also a recipient of the 1997–1998 Eta Kappa Nu Professor of the Year Award, the 1998 College of Engineering Teaching Award, and the 1998 Amoco Teaching Award given to the best undergraduate teacher at the University of Michigan, the 2008 Teacher of the Year Award of the Jacobs School of Engineering, University of California at San Diego, and the URSI Koga Gold Medal. His students have been the recipients of a total of 22 Best Paper Awards from the IEEE MTT-S, Radio Frequency Integrated Circuits, and AP-S conferences. He has been an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He has been a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society, the IEEE Antennas and Propagation Society, and the IEEE Solid-State Circuits Society. He was a National Science Foundation Presidential Young Investigator and the 2003 IEEE MTT-S Distinguished Young Engineer.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A SiGe Highly Integrated FMCW Transmitter Module With a 59.5–70.5-GHz Single Sweep Cover Ivan M. Milosavljevi´c , Dušan P. Krˇcum, Ðorde ¯ P. Glavonji´c, Siniša P. Jovanovi´c, Member, IEEE, Veljko R. Mihajlovi´c, Darko M. Tasovac, and Vladimir M. Milovanovi´c, Senior Member, IEEE
Abstract— A fully integrated wide-bandwidth transmitter (TX) module intended for use in short-range frequency-modulated continuous-wave radar applications is proposed. By incorporating an on-chip fractional-N phase-locked loop based on the fundamental voltage-controlled oscillator, the TX is able to synthesize highly programmable 11-GHz linear chirps around the 65-GHz central frequency, hence theoretically enabling sub-1.5-cm spatial resolutions. Implemented in a 0.13-µm BiCMOS process, the testchip delivers more than +5 dBm of output power and achieves the measured root-mean-square ramp error (nonlinearity) of 340 kHz for a 60-GHz/ms modulation rate signal over the complete range of interest. The presented TX module dissipates 550 mW and also includes an adequate on-board directional 4 × 4 antenna array. Index Terms— Fractional-N phase-locked loop (PLL), frequency-modulated continuous-wave (FMCW) radar, fundamental voltage-controlled oscillator (VCO), multichirp generation, 60-GHz unlicensed band, transmitter (TX) module, wideband antenna array.
I. I NTRODUCTION PPLICATIONS of portable short-range noncontact radars, which provide information on the presence, position, and relative speed, are virtually countless. These radar systems not only have the potential to improve the service quality in numerous existing fields [1]–[3] but are also expected to be the driving force for many novel use cases in the near future. Multiple sensing technologies based on laser/optical, ultrasound, and radio waves have been proposed in the past. Among those, the millimeter-wave (mm-wave) radio-frequency radars attracted considerable attention thanks to their robustness [4] against bad weather conditions and harsh environments. Historically, mm-wave radar sensors were built from discrete components and reserved for low-volume markets. However, a prospective single-chip integrated solution with a low unit cost and small form factor, often referred to as the radar-on-chip (RoC), would lead to its omnipresence in
A
Manuscript received December 21, 2017; revised April 9, 2018 and May 17, 2018; accepted May 23, 2018. (Corresponding author: Ivan M. Milosavljevi´c.) I. M. Milosavljevi´c, D. P. Krˇcum, Ð. P. Glavonji´c, V. R. Mihajlovi´c, and D. M. Tasovac are with the School of Electrical Engineering, University of Belgrade, 11120 Belgrade, Serbia, and also with NovelIC Microsystems, 11060 Belgrade, Serbia (e-mail:
[email protected]). S. P. Jovanovi´c is with IMTEL Komunikacije, 11070 Belgrade, Serbia. V. M. Milovanovi´c is with the Department of Electrical Engineering, Faculty of Engineering, University of Kragujevac, 34000 Kragujevac, Serbia. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2018.2842218
Fig. 1.
Contemporary fully integrated FMCW RoC solution.
consumer/industrial electronic devices with the pervasive use in a variety of areas. Recently, classical frequency-modulated continuouswave (FMCW) radars, whose conceptual block diagram is shown in Fig. 1, drew considerable attention [5]–[18], partially owing to their high integration potential. Although the main driver in developing these small footprint solutions was the automotive industry [1], a gradual breakthrough into other spheres [2] is evident. In addition, choosing one of the industrial, scientific, and medical (ISM) radio bands might turn out beneficial for the performance and cross-disciplinary expansion of FMCW radar sensors that will not be limited to vehicular radars. The mm-wave radars can grasp important benefits of higher frequency operation that are not only related to its antenna size. As will be shown in Section II, the FMCW multitarget differentiation ability is directly proportional to the irradiated chirp bandwidth. In prospect of the FCC’s recent extension of the unlicensed part in the V-band [19] that now incorporates a complete frequency range from 57 to 71 GHz, previously unfeasible spatial target discrimination is enabled. In other words, these 14 GHz of a contiguous unlicensed spectrum translate to a centimeter-order space resolution, thus allowing FMCW-type radars to be used in complex indoor and outdoor scenes which contain an abundance of close proximity objects. This paper presents a fully integrated FMCW transmitter (TX) module that is able to synthesize quick, wideband and highly linear chirps with a single continuous modulation sweep. It is organized as follows. Fundamental FMCW radar system-level tradeoffs and design decisions are elaborated in Section II and accompanied by the key block-level FMCW TX details in Section III. The test setup and the actual
0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
measurement results of the module are given and discussed in Sections IV and V, respectively. Finally, Section VI concludes this paper. II. FMCW R ADAR S YSTEM -L EVEL D ESIGN T RADEOFFS An FMCW radar system, as shown in Fig. 1 in its simplest homodyne incarnation, transmits a sequence of linear chirps and mixes it with its received reflections that are attenuated, delayed in time, and possibly shifted in frequency. Assuming that no nonlinear distortions occur on the pathway, the intermediate frequency (IF), being the low-pass filtered heterodyning product, will contain information on the target’s distance (via time of flight) and its velocity (via the Doppler effect). By analogy with acoustics, the resulting frequency difference f b at the mixer’s output is usually referred to as the beat frequency. One of the most important physical parameters is certainly the modulation bandwidth f . A large f not only allows the achievement of better accuracy [3] but also directly sets the theoretical lower bound on radar’s range resolution through c (1) R = 2 · f in which c denotes the speed of light. The range resolution R of a radar is defined as its ability to distinguish between closely spaced objects and can be an issue whenever multiple targets have to be resolved. Finally, a wide bandwidth f enables the measurement of rather short distances in the sensor’s vicinity. Even though at the first glance, it might seem counterintuitive, excluding the transceiver chain, in particular low-noise and power amplifiers (PAs), the short-range radars (SRRs) are actually more challenging to design than the mid- and long-range ones. A dominant source of difficulties in SRRs arise due to a limited time frame associated with targets in close proximity to the radar. Specifically, for a fixed modulation slope, lower beat frequencies will correspond to objects located at smaller radii. Therefore, it is generally favorable to decrease the modulation time T , without compromising the bandwidth, in order to push the beat notes of closer targets beyond, say, 100 kHz, thus escaping away from the flicker noise corner frequency. This, in turn, increases the signalto-noise ratio at the baseband output and consequently the measurement threshold of weaker objects. A wider IF bandwidth relaxes the design of analog baseband filters, but requires higher analog-to-digital converter sampling rates to achieve equal maximum detectable distances. Besides, resolving the exact target velocity can leverage not only from higher, but also upon variable modulation rates, too, depending on the exact frequency modulation shape (sawtooth or triangular) and the processing method that is being utilized. A. Synthesizer System-Level Architecture and Parameters This paper reports on a fully integrated and highly linear FMCW phase-locked loop (PLL)-based TX module that is capable of synthesizing the continuous frequency chirps of more than 10 GHz in bandwidth within a single sweep.
Fig. 2.
Simplified block-level diagram of the presented FMCW TX.
The presented TX chip is intended to serve as a part of the ubiquitous short-distance (defined here as a dozen meters and less) radar solution that according to (1), should theoretically enable it to achieve range resolutions better than 1.5 cm. With this performance metric, the envisioned radar system operating in the currently allocated 59.5–70.5-GHz band would be able to compete in diverse fields of demanding consumer products, such as emerging gesture sensors [20] and industrial applications. Furthermore, the described radar TX supports two distinct velocity processing methods. Particularly, with fast sawtooth chirps, a swarm of slowly (up to v max = 25 km/h) moving objects can be resolved. On the other hand, with slow triangular chirps, up to four higher speed targets can be unambiguously traced with the help of complex modulation schemes. To accordingly reach these goals without bandwidth degradation, the proposed FMCW synthesizer is able to deliver an 11-GHz continuous linear chirp in just 180 μs. This leads to a stringent modulation slope specification of S = f /T ≈ 60 GHz/ms, especially from the aspect of the frequency sweep linearity. Moreover, such a huge RF bandwidth urged a careful codesign of an appropriate custom-made wideband and a directional 4 × 4 dipole antenna array placed on the printedcircuit board (PCB). Nonlinearity, manifested as an instantaneous frequency deviation from the ideal chirp, disturbs the beat tone and thereby deteriorates radar’s measurement precision. Apparently, faster ramps are more prone [17] to nonlinear frequency excursions. However, since steeper sweeps yield higher beat frequencies, the relative linearity-inferred uncertainty can still be kept at reasonable levels even for shorter modulation intervals while considerably alleviating oscillator’s phase noise requirements. The prerequisites for chirp linearity, as the key parameter in FMCW radars, dictated the choice of a closed-loop synthesizer architecture, which is shown in Fig. 2 as part of the larger
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ et al.: SiGe HIGHLY INTEGRATED FMCW TX MODULE WITH 59.5–70.5-GHz SINGLE SWEEP COVER MILOSAVLJEVIC
3
Fig. 3. (a) Simplified schematics of the Colpitts VCO, the PD, and the prescaler, (b) 3-D layout preview, (c) simulated differential Q factor of the used varactor array, and (d) comparisons of the simulated and measured VCO frequency and output power at 60 ◦ C.
fabricated module. In addition, the complete TX chain together with the active power divider (APD), as well as the complex waveform generator [14] which facilitates up to 16 different chirp configurations within a single modulation frame, were cointegrated on the same die. The simultaneous pursuit for extreme bandwidth, very fast and highly accurate chirps, which would satisfy the compelling demands placed by and upon this universal 60-GHz SRR solution, has drawn a number of peculiar design decisions and has led to the exploration of various system- and block-level tradeoffs. The detailed description of these blocks follows in Section III. III. D ESIGN OF THE K EY FMCW B UILDING B LOCKS The FMCW TX is developed in IHP’s 0.13-μm SiGe:C BiCMOS technology [21], which features HBTs with f T / f max of 240/330 GHz and the collector–emitter breakdown voltage (BVCEO ) of 1.7 V. The back-end-of-line consists of seven aluminum metal layers (five thin and two thick). A. Wideband Voltage-Controlled Oscillator and Prescaler The simplified schematics of the voltage-controlled oscillator (VCO), the power detector (PD), and the prescaler are shown in Fig. 3(a) and the corresponding 3-D layout preview in Fig. 3(b). The fundamental VCO accommodates a very wide tuning range (TR) of 17.8% around the 65-GHz central frequency. The Colpitts VCO architecture is used because of inherently lower phase noise and a wider TR [22], [23] in comparison with its cross-coupled counterparts. Also, it has a higher
maximum operating frequency [24], which makes it convenient for mm-wave applications. To achieve a wide TR, it exploits four parallel thick-gate differential accumulationnMOS varactors (Cvar,max /Cvar,min ≈ 2.4). The simulated differential quality (Q) factor of the varactors structure is plotted in Fig. 3(c). Higher supply voltage (3.3 V) is desirable in order to reduce VCO gain K VCO and thus improves the phase noise. Temperature around the VCO is measured during operation with an on-chip temperature sensor T1 , whose output voltage corresponds to approximately 60 ◦ C. Comparisons of the simulated and measured VCO frequency and output power at T1 = 60 ◦ C are given in Fig. 3(d). The VCO control voltage VTUNE is overridden via calibration switch with a 4-bit resistive ladder digital-to-analog converter (DAC), as shown in Fig. 2. The transfer function of the DAC is nonlinear to compensate for inherent nonlinearity of the VCO tuning curve. The VCO output power is calculated from the PD output voltage VPD , which is proportional to VCO core amplitude. A slight increase of the TR and the output power in the measurement results are caused by the varactor model inaccuracy at mm-wave frequencies. The VCO tail current ITAIL is made 4-bit programmable and is controlled by the automatic amplitude calibration (AAC) to obtain the desired oscillating amplitude as a good compromise between phase noise, output power, and current consumption. The calibration is performed on power-up or after reset. The process starts from the maximum tail current value and reduces it until the VCO core amplitude becomes lower than a certain predefined value (approximately 0.6-V peak differential). The PD, which is based on the signal squarer, senses the amplitude and compares it to a predetermined threshold voltage. On the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
basis of this comparison, the amplitude is adjusted with the help of a finite-state machine, which determines whether to further decrease the VCO core current or to stop the calibration process. The AAC was switched OFF during simulations and measurements shown in Fig. 3(d), where the tail current was fixed at 18 mA. Apart from the PD, the VCO outputs are connected to an APD and a prescaler. The prescaler, composed of two cascaded divide-by-2 stages, divides the high-frequency output signal by four. The first stage is a regenerative (Miller) divider, while the second stage is a static divider. The Miller divider utilizes an inductive peaking technique to enhance the operating range [23]. Exploiting a cascade of emitter follower stages, the mixing core outputs are level shifted two times. The intrinsic low-pass transfer characteristic of a bipolar transistor [25] allows filtering of the higher order harmonics in the mixer core whose output signal frequency is exactly half of the input one. The outputs of the static divider, which employs differential ECL cells, are simultaneously fed to a multimodulus divider (MMD) and a triple-stage output divideby-2 chain (AD). The scaled outputs (SOs) (÷32) are used for measurement purposes, since it is easier to deal with signals in a low GHz range. The AD can be switched OFF independently.
Fig. 4. (a) Simplified schematic of the APD and (b) its 3-D layout preview.
B. Fractional-N PLL
C. Programmable APD
The fractional-N PLL is constituted of a phase-frequency detector (PFD), a charge pump (CP), a third-order passive loop filter (LF), a five-stage MMD, and a third-order multistage noise-shaping modulator. A linear PFD architecture, based on the standard 3.3-V static CMOS D flip-flops, is chosen as a sweet-spot design in a broader compromise between reliability, maximum operating frequency, power consumption, and phase noise contribution. The current steering CP topology with a reduced charge sharing effect and improved matching of the charging and discharging currents [14] was utilized. The CP operates with currents of 100 μA. It is able to produce the VCO control voltages that are in the 5%–95% range of the 3.3-V supply voltage, which is crucial for reaching such a wide TR. The LF components are programmable to achieve fine-tuning of the loop bandwidth, as well as to compensate for the PVT variations. The nominal cutoff frequency f C is approximately 750 kHz and turns out to be sufficiently high to enable fast chirp settling times, while the phase margin is 60◦ . The MMD consists of four divide-by-2/3 stages and one divide-by-3/4 stage, and hence, the total division ratio is given by
The APD, whose simplified schematic is shown in Fig. 4(a), consists of an input cascode amplifier and two programmable gain output buffers, one per TX and (receiver) RX path. The 3-D layout preview of the APD, together with a complete bias circuitry, is given in Fig. 4(b). The input signal is matched through a serial C–L network, which keeps the simulated input reflection coefficient below −13 dB in the whole 57–71-GHz frequency range of interest and simultaneously serves as the input dc block. The nominal bias current of the input cascode amplifier is 5 mA, and it is made programmable by the bias voltages V B and VBC for the sake of compensating for the PVT variations. The amplified signal is split via differential transformer to TX and RX paths. The output buffers make use of the modified current steering topology to implement gain programmability. The sum of the currents IC and ICC is constant, but the values are controlled with a 3-bit digital word (GC). According to the simulation results, the APD voltage gains (|S21 | and |S31 |) are identical and programmable in a range from 0 up to 14 dB, with a 2-dB step. This control is verified during measurements, and the gain scales in a simulation-predicted manner. Due to a high VCO output amplitude, the gain of the APD’s TX path is programmed to a minimum. Contrarily, the gain of the RX path is programmed to maximum, because its output signal is fed through the lossy passive structures and bond wires offchip. The programmable output buffer and the high gain are particularly important for the APD RX path, where additional amplification is needed. Finally, the simulated output reflection coefficients are lower than −10 dB in the entire operating frequency range.
NMMD = 48 + C4 · 24 + C3 · 23 + C2 · 22 + C1 · 21 + C0 · 20 (2) where C4..0 are division ratio control signals, set by the modulator. To avoid incomplete division cycles and potential glitches caused by the MMD, an appropriate synchronization of the control signals is implemented. The on-chip temperature sensor T2 indicates approximately 55 ◦ C in the PLL’s vicinity.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ et al.: SiGe HIGHLY INTEGRATED FMCW TX MODULE WITH 59.5–70.5-GHz SINGLE SWEEP COVER MILOSAVLJEVIC
Fig. 5.
(a) Simplified schematic of the TX chain and (b) its 3-D layout.
D. Transmitter Chain The major power loss contributions in an mm-wave RoC are induced by transmission line attenuation and moderate output matching required for high output power-added efficiency, as well as caused by off-chip leads. All these losses must be taken into account during the design phase of the TX chain, and most of them are highly technology-dependent. If a radar solution targets the unlicensed band around 65 GHz, the output signal has to meet stringent power emission levels defined by the regulator [19]. In order to handle different irradiated power levels, a programmable gain TX, sketched in Fig. 5(a), is proposed. The output power is monitored by the integrated PD, which has the identical topology as the one presented in Fig. 3(a). It potentially allows the use of real-time calibration algorithms for keeping the output power within desired levels. The TX gain programmability is achieved by controlling the transconductance (gm ) of the cascode transistors on the
5
RF path inside the variable-gain amplifier (VGA). Dedicated biasing and gain control circuitry is used for the gm tuning utilizing the current steering technique. The ratio of the cascode voltages VM and VS determines which current path is dominant and thus adjusts the VGA gain. The VM /VS ratio is finetuned by digitally controlled input VVAR , thus providing the maximum/minimum VGA gain for +/ − V . The inductive VGA load is part of an interstage matching network (IMN). The PA is necessary to deliver the output signal power to antenna in an efficient manner. The pseudodifferential cascode topology is chosen to ensure a sufficient isolation, gain, and increased output voltage swing. The cascode transistors operate in an open emitter configuration, since the bottom ones for large signals act as current sources. The cascode transistors breakdown voltage [26] is thus limited by BVCBO rather than relatively low BVCEO . A transformer acts as a passive balun for conversion to single-ended output and is followed by the output matching network (OMN), which ensures 50- output impedance suitable for antenna matching. In the VGA and PA circuits, the overall current consumption is adjustable through programmable biasing currents IVGA and IPA to compensate the influence of PVT variations. Likewise, the adjustable voltage VPA , which dictates the emitter potentials of the PA’s cascode devices, allows for fine-tuning of the bias operating point in order to maximize the output power. The IMN and OMN are designed to enable a wideband operation of the TX chain. All passive structures are simulated and optimized using a 3-D electromagnetic (EM) simulator. The 3-D preview of the TX chain together with the output groundsignal-ground (GSG) pad configuration is shown in Fig. 5(b). The simulated and measured output reflection coefficients are mutually in good agreement and are lower than −9 dB across the complete frequency range of interest. The estimated temperature at the TX chain is approximately 65 ◦ C, which is slightly higher than that of the VCO due to a larger power dissipation in this particular die area. The comparisons of the simulated and measured TX output power over the frequency and for eight different VGA gain settings are shown in Fig. 6. The TX output power is measured directly through the I67-GSG-125 Infinity Probe from Cascade Microtech, and the probe-induced power losses are calibrated from the results. E. Quadrature LO Generation for the Receiver Chain The RX output of the APD is fed to the in-phase and quadrature (I/Q) generator, whose differential output signals are converted to 50- single-ended ones via transformers and OMNs, as shown in Fig. 7(a) 3-D layout. These two outputs (RXI and RXQ) are intended to be the I/Q local oscillator (LO) signals for an off-chip I/Q demodulator. The I/Q generation was accomplished through a 90◦ hybrid coupler based on the λ/4 vertical coupling effect, commonly referred to as the broadside coupler (BSC). In comparison with other passive counterparts, such as the branch-line coupler or the polyphase filter, the BSC exhibits lower phase imbalance at wide bandwidth, relatively small insertion loss that is comparable with 3-dB theoretical limit, sufficiently small footprint, and good wideband matching, which makes
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 7. (a) 3-D layout preview of the quadrature LO generation circuit for the off-chip RX chain, (b) simulated I/Q phase difference and amplitude imbalance, and (c) comparisons of the simulated and measured output powers.
Fig. 6. Comparisons of the simulated and measured TX output power at 65 ◦ C (a) over the frequency and (b) over the VGA gain settings at 65 GHz.
it an optimal choice for operation in the extended 60-GHz ISM band. A potential drawback could be the requirement for a specific metal stack, because desired even- and oddmode impedances may not be achievable for arbitrary vertical spacing between the two neighboring metal layers. This BSC utilizes the coupling between thin (0.49 μm) metal 5 and thick (2 μm) top metal 1, where the interlayer distance is 0.85 μm. The implemented BSC achieves the phase imbalance that is within ±2◦ in the whole frequency range of interest, while the average amplitude imbalance is approximately 1 dB, both extracted from Fig. 7(b) simulations. The characterized output reflection coefficients are lower than −11 dB. The RX LO output powers are measured and simulated for the maximum APD gain setting and are plotted in Fig. 7(c). They yield very similar amplitude imbalance results to the ones obtained from the 3-D EM simulations of the stand-alone BSC structure. IV. T EST E NVIRONMENT FOR THE FMCW T RANSMITTER Four dedicated PCBs: dc, reference, microcontroller, and RF, were assembled to provide the FMCW TX with the optimal operational settings and the required test conditions. The dc board provides necessary supply voltages for the FMCW TX module. It consists of the ultralow noise offchip low-dropout regulators, which, combined with the supply
distribution filters, minimize the external power supply noise contribution to the frequency synthesizer. The 5-V supply voltage is provided through a micro-USB cable. The reference board contains a commercially available highperformance crystal-based oscillator providing the 250-MHz single-ended CMOS reference frequency signal. The measured phase noise of the reference signal at 1-kHz, 10-kHz, 100-kHz, 1-MHz, and 10-MHz offset from the 250-MHz carrier frequency is −108, −116, −122, −134, and −145 dBc/Hz, respectively. The FMCW TX settings are accessed through an integrated serial peripheral interface slave controller using an external master located on the microcontroller board. Finally, the RF board comprises an FMCW TX die and connects it with the other three PCBs. It also leads the chip output signals, in particular TX, RXI, RXQ, SOP, SON, and TEST, to suitable test equipment over the SMA and 2.4-mm connectors. The layout of the RF board is adjusted for several different test scenarios, namely: 1) for direct measurements of the mm-wave output signals at TX, RXI, and RXQ chip pads with an adequate GSG probe; 2) for measuring the same signals via 2.4-mm connectors; and 3) for measuring the TX signal transmitted by an antenna integrated on the RF board. A photograph of the previously described PCBs is shown in Fig. 8 with major RF components, the TX antenna, and the balun, outlined in the RF board and given in the insets. A. TX Antenna All of the RF board components were required to cover a wider frequency range than the expected operational limits of the FMCW TX in order to take into account the fabrication
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ et al.: SiGe HIGHLY INTEGRATED FMCW TX MODULE WITH 59.5–70.5-GHz SINGLE SWEEP COVER MILOSAVLJEVIC
7
Fig. 9. (a) 3-D model of the 4×4 dipole antenna array with a thick dielectric layer between the antenna and the reflector plane (dielectric layers are made transparent for better visibility of the bottom antenna metal layer), (b) TXA, (c) RXA used for test purposes, and (d) simulated E-plane and H -plane TXA radiation patterns. Fig. 8.
Photograph of the test boards and the bonded FMCW TX die.
tolerances as well as the uncertainty induced by the innovative antenna topology. To ensure the coverage in all possible scenarios, the antenna had to be designed for a very broad frequency range from 57 up to 71 GHz, which is a 22% bandwidth relative to the central frequency. Such a wide operating range could not be achieved with classic microstrip patch antennas that have a bandwidth of up to 5%, typically. To achieve the required frequency bandwidth, the custom dipole antenna array, shown in Fig. 9(a), is used. The basic radiation element of the array is a two-sided printed dipole placed on the top and the bottom layer of a thin dielectric substrate as zoomed in Fig. 9(a) (inset). A reflecting plane is placed at λ/4 behind the antenna plane, which is about 1 mm at 65 GHz, if the space between the reflector and the antenna is filled with air. By fine-tuning the dipole’s size and shape, its input impedance was adjusted to match approximately 100 over a broad frequency range. The corporate-feed network of the array consists of 50-to-100- impedance transformers made of tapered symmetric microstrip transmission lines. The balanced input of the array is connected by the balun transition to a standard unbalanced microstrip line followed by a CPW line and an input connector. An initial version of the 4 × 4 antenna array, which is realized on a TLY-5A substrate (h = 0.127 mm, r = 2.17, and tanδ = 0.0009) with air in the reflector’s gap, achieved a 14–16-dBi gain over the frequency range of interest. This antenna, shown as an receiver antenna (RXA) in Fig. 9(c), served as a receiving antenna for FMCW TX module testing. To ease the integration onto the RF board with the stackup provided in Fig. 8, the RXA version of the antenna is amended
by filling the reflector’s gap with RT/Duroid 5880. The EM analysis of the antenna array was performed for various values of dielectric losses, which dominantly influenced the realized gain of the antenna array. An additional role of the RF board was an experimental verification of such a modified antenna, shown as TX antenna (TXA) in Fig. 9(b), since this type of antenna is for the first time implemented on a multilayer dielectric structure at frequencies above 50 GHz. Because of that, the layout of the RF board is adjusted to permit cutting out the antenna from the board itself so it could also be tested independently. Finally, the radiation patterns in the E-plane and the H -plane, as obtained from the CST antenna model with adopted tanδ values at 60 GHz of 0.02 and 0.018 for RO4003 and RT5880 dielectric substrates, respectively, are provided in Fig. 9(d). The comparisons of the simulated and measured maximum TX antenna gain and its reflection coefficient over the frequency are plotted in Fig. 10. As it can be seen, the measured TXA gain ranges from 6 to 10 dBi, which is below the simulated gain of 11 and 9 dBi at the lower and higher end of the frequency range, respectively. These results are influenced by the 2.4-mm connector that is pushed above its recommended frequency range, which is noticeable in the sharp degradation of the reflection coefficient near 71 GHz, probably due to the appearance of higher propagation modes within the connector. B. Balun Transition at the Scaled Frequency Output ( f OUT /32) The balun transition, shown in Fig. 8 (inset), connects a 100- balanced SOP and SON outputs of the FMCW TX chip
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 10. Comparisons of the simulated and measured TX antenna gain and its input reflection coefficient over the 57–71-GHz frequency range of interest.
to a 50- unbalanced single-ended output of the RF board. It provides a frequency divided sample of the main output signal, which is useful for testing and monitoring the chip’s functionality. The balun consists of four half-wavelength (at 2 GHz) microstrip transmission lines that are meandered to minimize the overall size. The characteristic impedances of the microstrip lines were optimized for achieving good matching at both the balanced and unbalanced ports as well as for a low insertion loss, which is better than 1 dB within the entire scaled frequency range of interest from 1.78 up to 2.22 GHz.
Fig. 11.
Annotated photomicrograph of the fabricated FMCW TX die.
TABLE I M EASURED DC P OWER C ONSUMPTION BY S UPPLY D OMAIN
C. Chip Bonding and Compensation of the TX Bond Wire In order to minimize the bond wire lengths and simultaneously the associated parasitic inductances, the die is thinned down to 200 μm by a wafer backgrinding. Furthermore, the wedge-to-wedge aluminum bonding wires with a 25-μm radius were used due to a flat-angle loop, which also reduces the wire lengths. The TX matching circuit compensates the parasitic inductance introduced by the bonding wire that connects the TX output of the FMCW TX chip with the main input transmission line of the TX antenna array. The minimum achievable length of the bonding wire also depends on the chip size variations caused by laser dicing. The matching circuit consists of a short high-impedance serial microstrip line, which is optimized through yield analysis with different chip dimensions and variable bond wire lengths. The simulated insertion loss is in the range 2–4 dB over the entire band of interest, while the measurements of the complete TX module show a maximum 1 dB higher loss caused by the overetched copper. V. E XPERIMENTAL R ESULTS The annotated photomicrograph of the fabricated FMCW TX chip is given in Fig. 11, and the overall die area is approximately 3.05 mm2 . The ground plane is distributed in thick (3 μm) top metal 2, while the supply rails are routed in top metal 1. There are eight 3.3-V analog supply domains and a single 1.2-V supply domain for digital circuitry. In this way, the supply noise coupling between critical RF components
is reduced. The total power consumption of the FMCW TX is 550 mW, while the measurements of the individual contributions per different supply domain are shown in Table I. A. Measurements on the Scaled Output ( f OUT /32) 1) CW Mode: The phase noise performance was characterized at the SO utilizing an R&S FSUP26 signal source analyzer (SSA) in the PLL mode. The results were scaled up by adding 20log10 (32) dB to the actually measured value. The phase noise of the synthesizer configured in the fractionalN mode for the minimum, central, and maximum operating frequency is shown in Fig. 12(a), while Fig. 12(b) gives phase noise densities at specific offset frequencies over the complete frequency range of interest. Due to the significant variation of the oscillator’s sensitivity K VCO over such a broad TR, the PLL’s cutoff frequency value f C also exhibits a substantial change over the frequency. For maximum K VCO around the middle of the tuning curve, the loop bandwidth is the largest, as estimated from Fig. 12(a). While the major f C degradation is observed near the edges of the tuning curve, as clearly implied by Fig. 3(d), where K VCO is at its minimum.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ et al.: SiGe HIGHLY INTEGRATED FMCW TX MODULE WITH 59.5–70.5-GHz SINGLE SWEEP COVER MILOSAVLJEVIC
Fig. 12. Static phase noise measurements of the PLL-based synthesizer configured in the fractional-N mode over (a) offset frequency for three different carriers with the corresponding simulation of the individual subblock contributions and (b) carrier frequency at five different offset frequencies.
The overall phase noise is dominated by the free-running VCO outside the loop bandwidth and by the reference and PFD-CP chain within the loop bandwidth. 2) FMCW Mode: To demonstrate the functionality of the on-chip FMCW generator, an example of a rather complex frequency waveform was configured and measured with a Teledyne LeCroy WaveRunner 640Zi oscilloscope at the SO. The prescaled and measured signal frequencies are plotted in Fig. 13(a) versus time. Six different chirp configurations were used, where all four modes were present (up-chirp, down-chirp, hold, and reset). An example of a multislope triangular frequency waveform, which allows an unambiguous detection of two high-speed targets, is demonstrated in Fig. 13(b). It has an 11-GHz modulation bandwidth and four different slopes, where S1 = −S2 and S3 = −S4 . The last example is given in Fig. 13(c) and presents a fast sawtooth frequency waveform used in a 2-D FFT [27] extraction process. The modulation time was 180 μs, and the chirp bandwidth was 11 GHz. The reset configuration with a waiting time of 20 μs after up-chirp was added, which corresponds to the PLL settling time.
9
Fig. 13. Measured examples of (a) complex modulation scheme frequency waveform, (b) symmetric multislope triangular (slow chirp) frequency waveform, and (c) sawtooth (fast chirp) frequency waveform for 2-D FFT extraction.
3) Linearity Calculation: The scaled FMCW signal, which is in the 1.86–2.2-GHz range, requires at least 350-MHz SSA’s frequency capture transient range to process the linearity of the 11-GHz chirps. This was not feasible with the available equipment, and thus, the high sampling rate oscilloscope (40 GS/s) was used to calculate the frequency error between the measured frequencies and the best linear fit. The measured signal contains only 18–22 points per single sinusoidal period, which leads to a large sampling noise that needs to be filtered out. After the carrier frequency f SO0 has been calculated by finding the corresponding peak in the frequency domain, the scaled signal is first multiplied by the complex exponential e− j 2π f SO0t and thereby downconverted to baseband. The sampling noise is suppressed to a great extent by the subsequent low-pass filtering procedure. As a side effect, the phase noise contribution is also reduced, yielding the overall frequency errors, as shown in Fig. 14, somewhat optimistic and dominated by the chirp nonlinearity. Although this approach has a limited accuracy which depends on the oscilloscope’s sampling rate and the filtering procedure, it shows a very good agreement with the simulation results of the simplified Verilog-A model. According to the simulation results, the chirp nonlinearity was predominantly determined by the PLL loop bandwidth
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
Fig. 15. Comparisons of the measured and simulated rms frequency errors for 11-GHz up- and down-chirps over the modulation time.
Fig. 14. Measured frequency errors (nonlinearity) from an ideal linear chirp of the triangular frequency waveform with modulation rates (a) 11 GHz/180 μs, (b) 11 GHz/500 μs, (c) 11 GHz/1 ms, and (d) all the previous zoomed together.
and K VCO , which was also proved by the measurements. As shown in Fig. 14, the frequency error envelopes have a convex shape caused by the K VCO increase in the middle of the chirp. This is especially noticeable for slower chirps, where a larger number of frequency steps was processed. The fastest triangular frequency waveform, as shown in Fig. 14(a), has the root-mean-square (rms) frequency error of 3.85 MHz, while the slowest, as shown in Fig. 14(c), has 576 kHz, which is several times lower mainly due to a better linearity in the transition regions. In FMCW radar applications, the linearity at the edges of the chirp is usually not of interest because of the inability to process the radar data. Therefore, only the middle part of the chirp is used for the calculation of the beat frequency. The comparisons of the simulated and measured rms frequency errors, calculated in the 10%–90% range of the 11-GHz up- and down-chirps, are shown in Fig. 15. The increase in the modulation time above 1 ms slightly reduces the rms frequency error, while a decrease below 180 μs leads to severe linearity degradation. The rms frequency errors of the fastest measured up- and down-chirps, excluding turn around points, are 340 and 326 kHz, respectively. The simulation results show that added thermal noise of the VCO has a smaller impact on the steeper chirps, which encourages their use.
Fig. 16. Measured frequency spectrum at the TX output pad for a triangular frequency waveform with an 11-GHz bandwidth and 500-μs modulation time. The FSUP was in the max hold mode without synchronization with the TX.
B. Probe Station Measurements The triangular frequency waveform with an 11-GHz modulation bandwidth and 500-μs modulation time was measured with a GSG probe directly at the TX pad. The characterization was performed using an R&S FSUP26 in spectrum analyzer mode with an external harmonic mixer (up to 110 GHz). The frequency spectrum of the TX output in the max hold mode is shown in Fig. 16. The higher noise level was caused by unwanted mixer products, which were superposed to the signal of interest. Cable, probe, and mixer losses are estimated to be approximately 21 dB, and hence, the real power at the TX pad is between 5.5 and 8.5 dBm. These results are in accordance with the point per point measurements of Fig. 6(a) obtained by an R&S NRP-Z57 power sensor connected to a power meter. C. Measurements With the TX Antenna Testing of the complete FMCW TX module in Fig. 8 is performed by measuring the power level of the irradiated signal as received by the RXA in Fig. 9(c), which was
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ et al.: SiGe HIGHLY INTEGRATED FMCW TX MODULE WITH 59.5–70.5-GHz SINGLE SWEEP COVER MILOSAVLJEVIC
11
TABLE II S UMMARY OF THE S TATE OF THE A RT mm-WAVE FMCW TX M ODULES AND T HEIR C OMPARISON W ITH THE P ROPOSED O NE
positioned at the distance of 10 cm from the TXA. Both the RXA and TXA from Fig. 9(b) are deembedded from the influence of 2.4-mm connectors and characterized by a series of free-space loss tests using different reference antennas and various antenna combinations. More specifically, these spaceloss tests are performed with the measurement setup, which included the Keysight’s E8257D signal generator, the V8486A power sensor, and the E4419B power meter. Effective isotropic radiated power (EIRP) is calculated from the received signal level and previously determined characteristics of the RX antenna, both of which were used as inputs in the freespace loss formula. As seen in Fig. 17, the FMCW TX EIRP values range from 12.5 to 15 dBm, in the lower operating region (below 66.5 GHz), with a drop down to 8.5 dBm at 70.5 GHz, which is the upper boundary of the frequency range of interest. The deembedded gain of the TX antenna reduced by the simulated insertion loss of the bonding wire compensation network G TX reveals its responsibility for the EIRP decline at higher frequencies. At the same time, the estimated FMCW TX power level at the chip’s output pad PTX ranges between 6 and 8 dBm below 68 GHz, and between 5 and 6 dBm at the higher end of the operating range, which conforms to Figs. 6(a) and 16. D. Discussion and Comparison With State of the Art An overview of recently published FMCW TXs at mmwave frequencies is given in Table II. The 80-GHz system presented by Hasenaecker et al. [11] and implemented in the bipolar-only process provides the inimitable continuous chirp bandwidth of 24 GHz, but inherently requires a relatively high
Fig. 17. Measured EIRP of the FMCW TX module and deembedded contributions of the antenna gain reduced by the simulated insertion loss of the bond wire compensation network G TX and the chip output power PTX .
VCO’s tuning voltage, which is neither typically available nor trivial to attain in modern baseline CMOS and BiCMOS technologies. In comparison with other fully integrated stateof-the-art FMCW TXs, the proposed chip produces the largest chirp bandwidth of 11 GHz and potentially enables the best radar range resolution. However, as a downside, employing a traditional fractional-N PLL synthesizer topology based on the fundamental VCO does bring a significant loop bandwidth and phase noise degradation caused by K VCO variations. An interesting solution that reduces the loop bandwidth excursions is described by Vovnoboy et al. [17], but is hardly applicable
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
to fundamental VCO topologies at mm-wave frequencies. The circuitry that enables the generation of multislope chirps in the single complex waveform, analogous to [10], is also cointegrated. The cutting-edge all-digital PLL synthesizer covering the whole 60-GHz ISM band is reported by Wu et al. [9], but the linearity is shown for a maximum chirp bandwidth of 1.22 GHz and the maximum normalized rate of only 4.8 GHz/ms, which is surpassed by the presented design. Since the proposed fully integrated FMCW TX is intended for high-volume and low-cost market segments, the RF substrate that carries the designed chip had to be financially attractive in order to reduce the combined module price. Consequently, the limiting factors for a suitable RF PCB ranged from a highly restricted choice of dielectric materials, coarse metal layer pitch resolution, and ENIG top metal plating finish all the way to the poor production quality. As visible in Fig. 8 (inset), vias are displaced while the top metal layer is overetched, which deteriorates the overall prototype performance. Moreover, the experimentally observed increase of the dielectric loss at millimeter-wave frequencies reduces the antenna gain and its bandwidth. Despite increased antenna losses, the described FMCW radar TX module achieves moderate EIRP values, which range from 8.5 to 15 dBm over the complete 11-GHz frequency range of interest. VI. C ONCLUSION A complete mm-wave FMCW TX module comprised of a fully integrated frequency synthesizer mounted on an RF PCB-enclosed antenna array has been developed. The module is expected to be used as a part of a ubiquitous SRR solution operating in the newly allocated 57–71-GHz unlicensed band extension. Besides the fractional-N PLL that facilitates accurate linear chirps with a high degree of programmability, the design also incorporates an entire TX chain as well as the I/Q outputs for an off-chip quadrature demodulator. Aiming to enable the finest spatial resolutions, the presented FMCW module can generate 11-GHz frequency ramps with a single continuous sweep, thus providing a sub-1.5-cm theoretical target differentiation ability. Implemented in a standard industrial 0.13-μm SiGe process with the core voltages of 1.2 and 3.3 V, the proposed TX prototype can synthesize arbitrary chirps with modulation rates up to 60 GHz/ms and a measured rms frequency error, i.e., nonlinearity, better than 340 kHz within the whole 59.5–70.5-GHz frequency range of interest. Such a high TR of approximately 17% around the 65-GHz central frequency, using only regular technology components and the tuning voltage within the supply rail limits, is one of the main achievements of this paper. While consuming 550 mW, the test-chip delivers more than +5 dBm to the custom-tailored wideband dipole antenna. To the best of our knowledge, this module sets the record-high bandwidth among all CMOS and BiCMOS integrated FMCW TXs, hence making it a unique feature of this design. ACKNOWLEDGMENT The authors would like to thank J. Borngräber from IHP Microelectronics, Frankfurt (Oder), Germany, for his valuable support during characterization and measurements.
R EFERENCES [1] J. Hasch, E. Topak, R. Schnabel, T. Zwick, R. Weigel, and C. Waldschmidt, “Millimeter-wave technology for automotive radar sensors in the 77 GHz frequency band,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 845–860, Mar. 2012. [2] C. Li et al., “A review on recent progress of portable short-range noncontact microwave radar systems,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1692–1706, May 2017. [3] M. Pauli et al., “Miniaturized millimeter-wave radar sensor for highaccuracy applications,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1707–1715, May 2017. [4] L. Yujiri, M. Shoucri, and P. Moffa, “Passive millimeter wave imaging,” IEEE Microw. Mag., vol. 4, no. 3, pp. 39–50, Sep. 2003. [5] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto, “A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 928–937, Apr. 2010. [6] J. Lee, Y.-A. Li, M.-H. Hung, and S.-J. Huang, “A fully-integrated 77-GHz FMCW radar transceiver in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2746–2756, Dec. 2010. [7] N. Pohl, T. Jaeschke, and K. Aufinger, “An ultra-wideband 80 GHz FMCW radar system using a SiGe bipolar transceiver chip stabilized by a fractional-N PLL synthesizer,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 757–765, Mar. 2012. [8] T.-N. Luo, C.-H. E. Wu, and Y.-J. E. Chen, “A 77-GHz CMOS FMCW frequency synthesizer with reconfigurable chirps,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2641–2647, Jul. 2013. [9] W. Wu, R. B. Staszewski, and J. R. Long, “A 56.4-to-63.4 GHz multirate all-digital fractional-N PLL for FMCW radar applications in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 5, pp. 1081–1096, May 2014. [10] J. Park, H. Ryu, K.-W. Ha, J.-G. Kim, and D. Baek, “76–81-GHz CMOS transmitter with a phase-locked-loop-based multichirp modulator for automotive radar,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1399–1408, Apr. 2015. [11] G. Hasenaecker, M. van Delden, T. Jaeschke, N. Pohl, K. Aufinger, and T. Musch, “A SiGe fractional-N frequency synthesizer for mmwave wideband FMCW radar transceivers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 847–858, Mar. 2016. [12] J.-H. Song, C. Cui, S.-K. Kim, B.-S. Kim, and S. Nam, “A low-phasenoise 77-GHz FMCW radar transmitter with a 12.8-GHz PLL and a ×6 frequency multiplier,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 7, pp. 540–542, Jul. 2016. [13] H. Jia et al., “A 77 GHz frequency doubling two-path phased-array FMCW transceiver for automotive radar,” IEEE J. Solid-State Circuits, vol. 51, no. 10, pp. 2299–2311, Oct. 2016. [14] I. M. Milosavljevi´c, Ð. P. Glavonji´c, D. P. Krˇcum, L. V. Saranovac, and V. M. Milovanovi´c, “A highly linear and fully-integrated FMCW synthesizer for 60 GHz radar applications with 7 GHz bandwidth,” Analog Integr. Circuits Signal Process., vol. 90, no. 3, pp. 591–604, 2017. [15] M. Hitzler et al., “Ultracompact 160-GHz FMCW radar MMIC with fully integrated offset synthesizer,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1682–1691, Mar. 2017. [16] A. Townley et al., “A 94-GHz 4TX–4RX phased-array FMCW radar transceiver with antenna-in-package,” IEEE J. Solid-State Circuits, vol. 52, no. 5, pp. 1245–1259, May 2017. [17] J. Vovnoboy, R. Levinger, N. Mazor, and D. Elad, “A fully integrated 75–83 GHz FMCW synthesizer for automotive radar applications with -97 dBc/Hz phase noise at 1 MHz offset and 100 GHz/mSec maximal chirp rate,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2017, pp. 96–99. [18] E. Öztürk et al., “A 60-GHz SiGe BiCMOS monostatic transceiver for FMCW radar applications,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 12, pp. 5309–5323, Dec. 2017. [19] Operation Within the band 57-71 GHz, Tile 47 CFR Part 15, Subpart C, H15.255, Federal Communications Commission, Washington, DC, USA, Nov. 2016. [20] I. Nasr et al., “A highly integrated 60 GHz 6-channel transceiver with antenna in package for smart sensing and short-range communications,” IEEE J. Solid-State Circuits, vol. 51, no. 9, pp. 2066–2076, Sep. 2016. [21] H. Rücker et al., “A 0.13 μm SiGe BiCMOS technology featuring fT /fmax of 240/330 GHz and gate delays below 3 ps,” IEEE J. SolidState Circuits, vol. 45, no. 9, pp. 1678–1686, Sep. 2010. [22] G. Sapone, E. Ragonese, A. Italia, and G. Palmisano, “A 0.13-μm SiGe BiCMOS colpitts-based VCO for W -band radar transmitters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 185–194, Jan. 2013.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ´ et al.: SiGe HIGHLY INTEGRATED FMCW TX MODULE WITH 59.5–70.5-GHz SINGLE SWEEP COVER MILOSAVLJEVIC
[23] S. Kang, J. C. Chien, and A. M. Niknejad, “A W -band low-noise PLL with a fundamental VCO in SiGe for millimeter-wave applications,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2390–2404, Oct. 2014. [24] V. Jain, B. Javid, and P. Heydari, “A BiCMOS dual-band millimeterwave frequency synthesizer for automotive radars,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2100–2113, Aug. 2009. [25] N. Pohl, H.-M. Rein, T. Musch, K. Aufinger, and J. Hausner, “SiGe bipolar VCO with ultra-wide tuning range at 80 GHz center frequency,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2655–2662, Oct. 2009. [26] H. Veenstra, G. A. M. Hurkx, D. van Goor, H. Brekelmans, and J. R. Long, “Analyses and design of bias circuits tolerating output voltages above BVC E O ,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2008–2018, Oct. 2005. [27] V. Winkler, “Range Doppler detection for automotive FMCW radars,” in Proc. Eur. Radar Conf., Oct. 2007, pp. 1445–1448. [28] A. Ergintav, Y. Sun, F. Herzel, H. J. Ng, G. Fischer, and D. Kissinger, “A 61 GHz frequency synthesizer in SiGe BiCMOS for 122 GHz FMCW radar,” in Proc. Eur. Microw. Integr. Circuits Conf., Oct. 2016, pp. 325–328.
Ivan M. Milosavljevi´c received the B.Sc. and M.Sc. degrees from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 2010 and 2011, respectively, where he is currently pursuing the Ph.D. degree at the Department of Electronics. He is also a Design Engineer and the Group Leader of the RF/mm-wave IC Design Group, NovelIC Microsystems, Belgrade. His current research interests include high-frequency integrated circuits and frequency synthesis for mm-wave radar and communication systems.
Dušan P. Krˇcum received the B.Sc. and M.Sc. degrees from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 2012 and 2014, respectively, where he is currently pursuing the Ph.D. degree at the Department of Electronics. Since 2013, he has been a Design Engineer with the RF/mm-wave IC Design Group, NovelIC Microsystems, Belgrade, where he participated in the development of the integrated radar sensors. His current research interests include mm-wave integrated circuit design for communication and radar systems.
Ðorde ¯ P. Glavonji´c received the B.Sc. and M.Sc. degrees from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 2010 and 2011, respectively, where he is currently pursuing the Ph.D. degree at the Department of Electronics. He is also the Digital IC and DSP Group Leader with NovelIC Microsystems, Belgrade. His current research interests include digital IC design dedicated for mm-wave radar sensors, but also on the development of DSP algorithms intended for short-range radars and human vital signs monitoring applications.
13
Siniša P. Jovanovi´c (M’89) received the Dipl.-Ing. degree in electrical engineering from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 1987, and the Ph.D. degree in electrical engineering from Singidunum University, Belgrade, in 2017. In 1987, he joined IMTEL Komunikacije, Belgrade, where he currently serves as the CTO. From 1998 to 2002, he was with Philips Broadband Networks Inc., Manlius, NY, USA, as a Design Engineer. His current research interests include the modeling, simulation, and design of various passive microwave and mm-wave components, especially printed antennas and antenna arrays, as well as RF and microwave filters with antiparallel configurations.
Veljko R. Mihajlovi´c received the M.Sc. degree from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 2008, where he is currently pursuing the Ph.D. degree at the Department of Electronics. He was involved in the architecture and design of PHY and MAC layers for 802.11 on-chip systems. He is also the CTO with NovelIC Microsystems, Belgrade. His current research interests include short-range mm-wave radar and its new applications in automotive and consumer products.
Darko M. Tasovac received the Dipl.-Ing. degree in electrical engineering from the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, in 2006, where he is currently pursuing the Ph.D. degree at the Department of Electronics. Since 2015, he has been the CEO with NovelIC Microsystems, Belgrade. He is specialized in the digital IC and FPGA design related to radar signal processing and baseband communication layer. His current research interests include human vital signs extractions from the radar signal.
Vladimir M. Milovanovi´c (M’05–SM’13) received the Dipl.-Ing. degree in electrical engineering from the University of Belgrade, Belgrade, Serbia, in 2005, and the Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 2010. Before joining the Berkeley Wireless Research Center, he has been with the Vienna University of Technology, Vienna, Austria, as a Post-Doctoral Research Fellow, since 2011. Since 2014, he has been a Post-Doctoral Scholar with the University of California at Berkeley, Berkeley, CA, USA. He has also held advisory, consulting, or visiting positions with TI, NXP Semiconductors, Infineon Technologies, Sony, and Broadcom. He is currently an Assistant Professor of electrical engineering and computer science with the Faculty of Engineering, University of Kragujevac, Kragujevac, Serbia. His current research interests include the design, modeling, and optimization of CMOS/BiCMOS analog, mixed-signal, and digital circuits, devices, and integrated systems, as well as the development and VLSI implementation of energy-efficient communication, control, and signal processing algorithms. Dr. Milovanovi´c was a recipient of the Best Student Paper Award of the 2009 IEEE Bipolar/BiCMOS Circuits and Technology Meeting and the Best Paper Award of the 2014 IEEE International Conference on Microelectronics.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES
1
A 3.1–10.6-GHz 57-Bands CMOS Frequency Synthesizer for UWB-Based Cognitive Radios Nam-Seog Kim , Member, IEEE, and Jan M. Rabaey , Fellow, IEEE
Abstract— An ultra-wideband (UWB)-based cognitive radio (CR) is a promising technique to utilize 3.1–10.6-GHz band efficiently for high data-rate short-range wireless connectivity even in overcrowdedness of the frequency spectrum. Frequency synthesizers tailored to the UWB-based CR should provide wide lock range, multiple frequency bands, and low in-band spurs while consuming low power. This paper investigates a low power, wide lock range, integer-N frequency synthesizer approach with 132-MHz frequency step and 57 subbands in the UWB band. Two-stage supply regulated differential ring oscillator provides linear and wide tuning range with voltage controlled oscillator gain of 22.5 GHz/V and low supply-noise sensitivity. A modular true-single-phase-clock programmable divider achieves wide operating frequency range of 0.1–6.5 GHz and power efficiency of 6.25 GHz/mW by compensating leakage current at the dynamic nodes with conditional latches. Replica-based digital calibration reduces the current mismatch of the charge pump to